From d2bf4f900dc1c38ec70566b540af303d2c5e1219 Mon Sep 17 00:00:00 2001 From: LongHairedHacker Date: Fri, 22 May 2015 15:03:20 +0200 Subject: [PATCH] First draft for the split ground pcb --- hardware/libs/mfsop6.mod | 56 ++ hardware/pdfs/sss7modem-B_Cu.pdf | Bin 0 -> 8933 bytes hardware/pdfs/sss7modem-B_Mask.pdf | 83 ++ hardware/pdfs/sss7modem-B_SilkS.pdf | Bin 0 -> 2572 bytes hardware/pdfs/sss7modem-Edge_Cuts.pdf | Bin 0 -> 2765 bytes hardware/pdfs/sss7modem-F_Cu.pdf | Bin 0 -> 20129 bytes hardware/pdfs/sss7modem-F_Mask.pdf | Bin 0 -> 4110 bytes hardware/pdfs/sss7modem-F_SilkS.pdf | Bin 0 -> 11269 bytes hardware/pdfs/sss7modem.pdf | Bin 36855 -> 36431 bytes hardware/sss7modem-cache.lib | 2 +- hardware/sss7modem.cmp | 6 +- hardware/sss7modem.kicad_pcb | 1251 +++++++++++++++++++++---- hardware/sss7modem.net | 14 +- hardware/sss7modem.pro | 17 +- hardware/sss7modem.sch | 4 +- 15 files changed, 1215 insertions(+), 218 deletions(-) create mode 100644 hardware/libs/mfsop6.mod create mode 100644 hardware/pdfs/sss7modem-B_Cu.pdf create mode 100644 hardware/pdfs/sss7modem-B_Mask.pdf create mode 100644 hardware/pdfs/sss7modem-B_SilkS.pdf create mode 100644 hardware/pdfs/sss7modem-Edge_Cuts.pdf create mode 100644 hardware/pdfs/sss7modem-F_Cu.pdf create mode 100644 hardware/pdfs/sss7modem-F_Mask.pdf create mode 100644 hardware/pdfs/sss7modem-F_SilkS.pdf diff --git a/hardware/libs/mfsop6.mod b/hardware/libs/mfsop6.mod new file mode 100644 index 0000000..0121c4f --- /dev/null +++ b/hardware/libs/mfsop6.mod @@ -0,0 +1,56 @@ +PCBNEW-LibModule-V1 Fri 22 May 2015 01:29:03 PM CEST +# encoding utf-8 +Units mm +$INDEX +mfsop6 +$EndINDEX +$MODULE mfsop6 +Po 0 0 0 15 555F12B0 00000000 ~~ +Li mfsop6 +Cd Mini flat package, 4 pin +Sc 0 +AR /555DB3D8 +Op 0 0 0 +T0 0 -5 1 1 0 0.2 N V 21 N "IC3" +T1 0 5.1 1 1 0 0.2 N V 21 N "LTV-352T" +DS -1.905 1.905 -1.905 -1.905 0.25 21 +DS -1.905 -1.905 1.905 -1.905 0.25 21 +DS 1.905 -1.905 1.905 1.905 0.25 21 +DS 1.905 1.905 -1.905 1.905 0.25 21 +DC -1.235 1.5 -1.435 1.7 0.25 21 +$PAD +Sh "1" R 0.61 1.52 0 0 0 +Dr 0 0 0 +At SMD N 00888000 +Ne 2 "N-000004" +Po -1.27 3.175 +$EndPAD +$PAD +Sh "3" R 0.61 1.52 0 0 0 +Dr 0 0 0 +At SMD N 00888000 +Ne 0 "" +Po 1.27 3.175 +$EndPAD +$PAD +Sh "4" R 0.61 1.52 0 0 0 +Dr 0 0 0 +At SMD N 00888000 +Ne 3 "TXD" +Po 1.27 -3.175 +$EndPAD +$PAD +Sh "6" R 0.61 1.52 0 0 0 +Dr 0 0 0 +At SMD N 00888000 +Ne 1 "BUS_GND" +Po -1.27 -3.175 +$EndPAD +$SHAPE3D +Na "walter/smd_dil/mfp-4.wrl" +Sc 1 1 1 +Of 0 0 0 +Ro 0 0 0 +$EndSHAPE3D +$EndMODULE mfsop6 +$EndLIBRARY diff --git a/hardware/pdfs/sss7modem-B_Cu.pdf b/hardware/pdfs/sss7modem-B_Cu.pdf new file mode 100644 index 0000000000000000000000000000000000000000..a971a775bc786cbf4bd005aef85219febf00c1ee GIT binary patch literal 8933 zcmb_?XIN9)(y#@=LlXh%N)#}nq>us$RjPCmP^n5F351dW30*-%;ZPJ5kRnBzNG~E? z1*Axk-lR$g5h)@?K;IqolzZ-d-uL^S=k6cL%B-0+v!<=RW+JAqst%EaqL{@RKZT_* zqd{;G#mbIZP7bC+AluMvK~ez30H#iIpb=a^Fm(qUji5@frr-$*3d~fR3jycI>=D0c zp`G_hgPbsX_A_%=ux}l+z;df=w0^-5{3F}&36JfWaTs50d%pKT6bJKNcg>(Am4?8S z$Sz-Bi}p3wamC$@4dF1~Eyo+XUwu!s?tUA(E-e0H;r+M%__d4B>jZ__R<0j;SGxMg zzVEIGhka{>u9k%v0f9;2F<_^Vo>%!D);d#?9_UT`9Qg7^nT-dwj;%WD`9PZ97 zU`1LhmH8+UIciFoW)=zFI zx@5(+oee^$>mzozB;H}SOi|?Exp~L#{yXKmB@B|huU8qa7oStg$Z%F_QK(|had1vm zt9(Elu6tKLC%d|;WGR94EartbJ5#7FOfm8H3=vmktJ5Ax^NAhcQ*;=OE9=S{(L|Fc zX3W=a+RTVl->8(O_JM!96u>eQ^ZiZ8^q748su>BIc=FPHYiPFcN%5Nv;gLo6!y|j# zH6jn6TzM1xzWyH1qF$CWm-KAPlI&~Y{WIH=JYx5*^bLD%uC09Xms0rF-?hEbw&`QJ ztxfvqk;Dt>%f^s9j7sn~N>tHbN&wFl55!Z{NX;d;#A*=yU8QMWQm zII5gzwkvO9`zBA+-MjMVe!P$E9EQimnh11aZ%N+wl+BDUe>Q>g3Kb||HGMG8SzQu(hH1x?|@pwxQIOji1UE&o2f`DHjs zP@&@`Q?%#AgVcp!Xw>5xey;S_3t~FSHhW>dM(07rB*0Py%(qBc3Z@T6`eRy zowuG`Ql@eXLZXiITF_*{=*_T87t|W&{7&D7HYB8dINw@dpJvBq@?NE=Rr-r(Cs^jW+?F)0;roLHQGtn-K%}8W z1TD11Zt>%ZVzV3;N!H17*W2yY1hSV;hx}gdarBifAfkKcL|~w?vvca@$_P8UfB$4> zOf(gQSw>EdN^u5R3M@VKYh{X2AI0wZcg|=l?jN2Qn5aJ_fp4xc+TtmBVA(eDK6r z`>NYV+t%05GVu+Ivs&gw9f9}93x;y;4;8*bu^68Byd^ow*Z`O+u=U0PzGfZaXTRAS zz+Z+)H=i`Ef)EN4b*V4r@Si1SCa}os#Vmf^fgY2A86FE0>e+7K-m;*_)Ef7;*4bN~ ztvT$;hZ`_6i+0*PY8m#`MIR%-4y4&feT|DcS6KMz&BQ03tEN$c1iEXp?722`79KU) z+?!L-ieQV1P=81=%@ci*(B-)@-E|t4$$D9?9U3K4e9o^sq}58%9M4l()}3OZDV;a* z*?id|YbtDiYV2?RIxkmrvPu(40LO{cx->`b!d3aVJ+U{9<3}d6vRzVJi?u54YpCrl z;PXmhpEPIja)n~N@x?!O3R;jALf_ullg@7W%pE##dFli8g`Z8Z&)cN=k0wVV&&rM- z8M(Jo8nW!ESZm_z@f#<>>7($g4yko*p79hSU#L)cp^iwz5u=LR_Qqz2u}?paVv3h) zw`1qVq@=7np4q6U-jkJf@=BE(cYCAJeyuvWrS1MT-BkRVkJGc(+>x{{1|t6kLxlYqer+eDh!V3u?B6MH?}+k*$|l1VYn(cHl-+^{&$^dpjO*!My%1(ze@ zG|w03#CaP$A!V)H4;1EL>D%E+DBZ-ScB!4$Z1rm?(+PMa5x^zs&ziMm=B?RiSl?B? zmqN1}RVp2W?DtmDWXPKYjzKNneZirewIc(~KLW}x**}_kYV zHruo7elFHa(je*j`OCUxL_cT$QjL|jBWSgD7U$L+HT_hV=vjdcAX=T!s>%Ny%$9j) zx;hm>lKkO)?N#Ft*0YsQR0JLDN#kdEtRnK{Ud+8=0Xu)dbF8iI$6S_sh*1w+odL1L zK6QPpcaM}D;`5!i$Z|?&R6B#zL%h z)`)pssT#}O^|SzqZI%(U;n;S~=-qe8i!Q;5A$2Q80|M<(v$bIETwnZwcx@tolvVrf z<7YaHPHx4-28dybGt<)IZ`&|%erw$gZ!Wr`;83aY&5D@Cpga zJ9W7>1vDF|VN_&PJ6c;d(gl%birD3X;|AxGg(@C0pHILQ4j^`_EP7h3zf4)~oOt9B zD$M6`LI~q4={;%_LxnTmp&nDe;e*RqIx2RGTj3#pb^6{w8Jc ztiyC?n>9aoK9^OG6{T|sn!`jgz7d5s9Q!qU>;Yg->e;XRbD%6 z?aX7DwJL6`cC_8^B>X_&adrf2X7Q6pm--!^&fCe{L3XYQ*yMDey=`nS-Vv6(aMX32 z6!!IpGv)$nH29kN$`z{?iz3@T(V=LWjW+SB$=-~dpgvoeWHT!Jd!O&i@|!p{R47HX z+?ngCetD(pwTdPqgPeJpEc(1B%8ui3=k|%Xjb;7}!_QvF#3lUYofvoT>eI@Q7;cmp zNnF(EU9B3I)g?9=Q?J!;XvE!;iPD4f+DG{|4NqO7DFCva=xe10@GvRX->_#4nip!%=L?TF%?O}6K}ORq*}T0AICs$*xr z455EivluX~+fmF3pE}B>-m^A~zUJ={@D)9k+9T-8KW5HXeRqSmK=JLA12}L&e*%>o zvhUcEF8N(n)TMMOB~({a=9Sz6Zqwj;08q}F;+$gG$7egT?A5ajO>%b9XMJNWV5^PY zZQ4~aU3mnzH9%U=9r!4uGmckQ89NGAo)dW04Uc#Z_n_{iQxmR?-Pkv0d-!RD%KZ!V z%}y-|oUvbOjKkSRWgCww+eUFP#PtqN6k%SkKB?v)WDvrwb17E_FM^Z*a_3E1}slPl9@C?moPQn|Z}A$zi2w73F^|Xtrs^RU4vt zElwpSu9A)VU1pNvU(5HbFdH6cTp>~EaSU#z<)s#S)#Ued{MAYk-oj#RX3Kz9ecv*z zX`mt?cf3FR0qwmb!{ij<1&8+dwn+C!F(and0&QR1r^57r+5v+XI0t^4FbJBvfky?? z!1G3~fhTZ+&rB!}Q-Vop91w7Q6$+UIa6J!O+kY4lb$0m8|7^`u3-ZuX-b2V0-Tb!a zkKBuf5RDa5sbAK9kFV)gNn?1U^R1VAVe(0DMU3@Lp;@B^<$|7YZMSzbQ}fll+hXwA zfSgAj?FwtFuY6ogeF}R95!$O!e3>Vu+O}GnCtu1ml|7qVQBQZOy{QFt`A{^LF{P|Q z&Yg>#G0p68NdP4Ka~pHqo@%^)m35C(^6xOV*2l+9$ugIHBR}x3)U{Aiie_W-&wJZh zm1krJ4VvrOef;EiaZyHCdTcbeXzQ7(LA3J`M08cD`sdmQqYdutEZ1H~w4&B;NVppm zP8g`$vc2KE0Ru4Ni}0_ZQ?N1Vw6`dpQ!SoYkr4M0yuRM#%WCbfdgYl~d}2oMcP|c1 zEO(bx1-4;4?;~UUl51M`xiBC%7FdbUU9lLC`l+L>cYMPvCs<%M@RgD}KAjF5_(>`w z*VU2>aNHK_=_=XVv80m38iodBj8I@w!?ZlDp=Mhr%#tyHk?ZyX zyWZ=PF}%8+$QWbGcFSvN(u`bd{5o&rYpULkiNqA#VB{J-UZa|^z=Q>oEb+i{0jt(oI4CtT^or2%E)}hDUzpqRQkln0-;Gt*dTMTQ-bqDEq#9)0*lM z?vCvR_2_l0S$21g&h`4+TMa=57L^b4j3ij~_Vz;*QY~>g&n5CeGuz=xm6a{UZ2*XIP>OqXl?fTZiBygX_54^)_+j$}1TNvHzj| zhuoOWPi7Hb4|SquJHt{9f|8^?XP1wa$%S;g-1J2Jc4&SJZxA6XG2WD>zA&|g=WK5O z(j+>&8KEiRDi>Q97Y2?P__iX%>FwddeP|G}IuPPqRk$(OC+pkhExr5s>Db6xF%l7J;ay(qjczdJgQXSS zz9FCqehCR}Ub)NFAMAXxzI4vl*Wsa7wNPJgPe%Tr`tm%&eghZVYi3UB{+uRo)!CiA z-F9wZ_Eur5x&6yqm+pIe=X%~cDRaBL4^wTPO@V7Mz_$xN-+_|XLM=<2xvm2@b6F%% z{}P1kW-ayL;WvNZyNIi`uy`F{qduMz^i|ridS&;ToAvCJpwJ{QvqdZqD7|+zuuf{_^ zuf|dFg(q`ychg5jF0*$cbiX`&-0C;e)!uYEDmmn8rjVNVi&{jB#AqOahw@jAL02Pc zN7537#D!-{Cu)A}L%y89RevE!d*KY{v;uGmck(#y-#RbwgrWNjAHH*sQF6``E90_U zB=Zx|@`nia*Y)g6<0^Nh)t{8N=`&LdBTF}e*XocWo*Gyy2EAyo>^iP0+L^&QS-1QW z(KM|TxXHIQXPj4(FCpH!9j&c?rr&{yJ0txYSM$wFq>W?EB9YmN`dzNdM+cwq5%g8P zDtY9h?LMhISzcJq2^HG8A;cUs6CYa2Fa4;pBX494D1f^8jL-2b4R;wWDu19=wZl$) z{_RRhS!dRW29jRUTt4o(1%f|{HE&_zn=UIY?>VT`hQ58W{2=RfM!`P|M1P_06+mr? z9&g6yDWiOiRTjsV81f!NPy&Y4hCD_Pu&h_)jr>cBbKE{pVbc5eR{VYdq+}Jt{ z9}5f0;ur1(sJHk=b7NlddWKSOJ^$m4;1Vu~rU#1g< z#{gW~o0vd_7U^t0j}EXnPvr0LfvN2gNgY#55)KtkowHN**G-&Pf;Xy$3^Ez=bME;j zOaw~yYja4O7RzSRtBE16mMo@4ssZIXOdYKTbbH)>Sp}&VCQuOSw(>^fv^?_(ZUcLD z2Z`+hOl5r(&$N0hDax%sWi~PT;;j_>n6QE%8|TeZh1wdfHn^SUC@RcPx0%D)`M7e< zFw=lT(9PA<;)ek%)1ujKTC9~hGCU*ehd#Bls}vPBMs4AQzH~oaKxK@IALl#mc#x@L zqX2Pn=NaZ>tyU3Hq$ejR&a9KvQlBKm@HuBW^#kg0vvSL`+&1BPeRjn+qhI~9xaVu` z&FfwR>S&u^J+_w%|TmMJ1b0P3RTL`rh)n%3-rDAhjJyG&!o12adUs zU>SM^u6LG?Yp?!UBjR*a=iI`+=cG<@8o#tN6}s6LyCnpqLWmBNzc8mdd~*fIFj*Wk zl;$xx@iEtj)Ls3dJN_uEPd;ajgK6=fg;}6#+A96(#E=Nzj{#w6c3OY9GI4pM1Z8R2n%MI0fh#1V?bCqfaXFV(?CdiuLgh< zs1#QhYXTMM;^@0jtPKe?GZ@h40Z7z5Xcr9ks4#oDKobY1LLt)tYAT4{{4rn#K)-~c zFZZ(<1cK3}0qu!H1;MmQcq+(@nJ%mWvjqrP!4=>x`8QI@KS_U4s^Dli2a3%fOZ<{l zmq5eeff*3?AFQSSmHscenPC_8bwQE}3cqBeZ{t_P%0QZ#PG<1e2I#B)n%vXoAMBW6 zhOSmLx_*syb^d5{NuuDuvcF6ur|98?v$iMDKvo1B5?LO+RQVbVBH`u1SJAp~T_+WS zElI=6g<$BVXJqYVZ;i!+6&0A}6mfuM2@nsQ6NI?eF{6SARBQQDDh4|0r|1YCG9Hdj zj=-)#9*z!Vs*Hy`c#lK|7}LQpFlY~jW-kvm*3}2mC=>^K5)FiaVxf`{Nu&gb=z?=3 zxKmv0L6T4@FtDTxo+x9Ws{U(1;FmnumPT`ufx+C}-J$MCD8Ttfq(!Q z5UMAchVy`ssUiTsJwZsuf0B`@&^?);))Yq=$rvUHg~R?}qzY`uh2%sdQOF=VW1JPm zl_n2%btU0tPy{p)gFvDoNDP(;k(4CDA#mV(3V z;7LSJRUr5j5O7H}1dfIv5Jr+{88|{l5`GT&gu~@v|G)%{50I(=9aEr#`u{-sH_X40 zQe2Dxmr&4GQPxwt`jf{V;xD)yjBb^`>EpkxA)J%0?*FbMM@PC7)A3|fDb}uzKx)xc z{r_>1|F%`})_)4@!IBzpA7IN-=O@?Z}Lo|6uSv5Rei8+r$1$I10?5|I+i{Tj;MW3uJS7usc160;7LDCJ}!n z@jueRng5gx#DSBH9$bLc=;3unRfZm$a5Mq|d?NP35)6lf0W=_d{|}5`A$8_wm;oe4 zbnBn_ui91o&oj)!g+OG6gCyb1^nCgA0ZF0JNHmBD`UR7cMgeCg{Q;5xfMJkm;0XR# zn3NRe-(l!~r-cK$`8QfL;@@~kVc@`_`VSr$6mabR6DIvPJix(84g?qAZzLd?A<2sX z>pD#%PuSqY_tMPk(?rQpg~6|6EwT}o0JD}|E8 kqE!%57%7GS8^ePB{z0YTTxfd=#h@{8W-&3fi|Wk(12tj5i~s-t literal 0 HcmV?d00001 diff --git a/hardware/pdfs/sss7modem-B_Mask.pdf b/hardware/pdfs/sss7modem-B_Mask.pdf new file mode 100644 index 0000000..b4426aa --- /dev/null +++ b/hardware/pdfs/sss7modem-B_Mask.pdf @@ -0,0 +1,83 @@ +%PDF-1.4 +%쏢 +5 0 obj +<> +stream +xXKn0 :k6@,.zI5CΈ2-gpz|yj1GBgwR?{~?|Fs/?} q?a%J7#~D؟=I>zgՁ2674OQtl` ҌgZXy1Hw@#+kq3Tk/fr3W:FvEW3OLAkFDDr!hL՚I^$ƌNQ]LjƧ`1C>+s3>endstream +endobj +6 0 obj +913 +endobj +4 0 obj +<> +/Contents 5 0 R +>> +endobj +3 0 obj +<< /Type /Pages /Kids [ +4 0 R +] /Count 1 +>> +endobj +1 0 obj +<> +endobj +7 0 obj +<>endobj +8 0 obj +<> +endobj +9 0 obj +<>stream + + + + + +2015-05-22T15:02:10+02:00 +2015-05-22T15:02:10+02:00 +PCBNEW + +/home/sebastian/projects/seidenstrasse/modems/hardware/pdfs/sss7modem-B_Mask.ps + + + + + +endstream +endobj +2 0 obj +<>endobj +xref +0 10 +0000000000 65535 f +0000001217 00000 n +0000002830 00000 n +0000001158 00000 n +0000001017 00000 n +0000000015 00000 n +0000000998 00000 n +0000001281 00000 n +0000001322 00000 n +0000001351 00000 n +trailer +<< /Size 10 /Root 1 0 R /Info 2 0 R +/ID [] +>> +startxref +3058 +%%EOF diff --git a/hardware/pdfs/sss7modem-B_SilkS.pdf b/hardware/pdfs/sss7modem-B_SilkS.pdf new file mode 100644 index 0000000000000000000000000000000000000000..1adbb412d95b08d52f251fff631d1649ad7140d2 GIT binary patch literal 2572 zcmb_e%WvaE7~cyQBcVOPkKvTOH{av;J!dw0?T(^W3~A%% zKR@_fG7&+^=&4k%<3kpY`2=kPrH?y7$XSMPC#0OUnVWdbaipAQj7HMp*@GeZT_2J= zyIY?=`{m15z3)DJ`&ahu*!KhPo8E8i>px!j>8;1_&ZOPH9>2f&@uuXzyeWNu_p8r7 z`RKjoOGo4gy>jOZ=kALv_DYqo6EK8v@mtlUl47g@4o_2tdvwfjmw5qgCJS^z;CNfr zP}S0&NVuPHfQu{wY#EC=(uFfXXL&NqT$Y2Iy)1DDjGtf#1c>Y{`2L`fsujH8C2l1# z2k9J%==3EZm0*OrWwa0$XixzsNBGUa%h8D>!1kpl2sF&Vx_UuWJr^w{Ta?o<8Lw27 zNV|+v4^EJE#dP(u{2I4}AN9IOb(|8TaHGs;a3>2=9wadm zhUqAo@rFE`1)gm%)30f|spvJ!S5(y}3W23)rcaqWGODU+tjwhsU|zBZ2VhW#IKa+^ z>h)$W%Np{2?-1=zlAJH?BM8T~j7#@#3l-$%<|sfy%P`b|$L=Ox;Gedk`5cX?rb0|b z(}t>P6U|o11NahB$5%KZ`5@YYkpd&;KZsu7ydaunLnsNS*J?i8J6hT(7)yE`i>zFT z$8AT5rrqwp$0&+K5eq)Mow&0IM$19_|CGpWXVr7h0jIMpEc(H7F$ISwe=idO@ytR2Eu)~ z%tU`d;zWmSwQbR!#MCtSYDH(tgvh`K9sC@Qva7b1odzO9Q2<-Fo!a~7H`yX%zC@5p zBr!FY3vHXGZXzG8XnGai3}T^pMT5}}&w|S|qN{KfUZJViWeJ4mI-d!b>J?eTxNgI! z!7YD<&r~n)L74|3%iy(z@E|y2;2VNRO9D>?aZe+B5c>%d$%RMDK^vXa&6>Wa>n*LN s)ht6-iKQ7vvsoo(r`l;-THAR(#}n}i$vMq<5l~3IC2ef%J?cpR0JlQz`Tzg` literal 0 HcmV?d00001 diff --git a/hardware/pdfs/sss7modem-Edge_Cuts.pdf b/hardware/pdfs/sss7modem-Edge_Cuts.pdf new file mode 100644 index 0000000000000000000000000000000000000000..2ba670fd09f653665798cfdad05609f12ad3a444 GIT binary patch literal 2765 zcmb_eTZ|J`7*^y#MokEb0&>~IWiyM=o|$Q<+fLfS-F6Ebw@bI%up1XvW;>_t>`psn z=G1l3{y)`M>{inv=1(NA&u+=8u0qaDPq?n_56aY#J#|@Jb8{xRAQ4%8= zODC$zIn*Xvfvdif=;`d9E8hFz`2A1pe)suc_ux}!_I)>R*Te0f-nsqTKVOKP-ur0J zmcgSB{o1r(^K&<@?>Kev;L8`st+&DhP4Oo?uHH9(OmF&Xa8KU~`E7dsLf`Axe(xEU z?^v=i_vWP^dM;cx_O^7rxc54}^2MC@RxgqEEL`x+nZrjvt1d2$KYQ%*PxAs4UwLEr zmvb-K#_>ao^n3QNOTV9EgAkyS&Sntv<3nH!d&NdpG|!XU8Yo7XG;A z_+9VZy-K;b=;VVt2j@!zA8a#MoLk$p@9fhDPJFqAJG}h*fu#?8{{3qw&)-;jJhf@w zt9k$F6Myh$4~tjnjb&etK7RQd=dXS9cjCX3NAjmgQLl#sb}WP}aO_tKh+LiIpNwvL zv_x=H%MqL)x}mjORWu;LaX^$%Ye?G4;gm%o)+i(Zn@x%o`4|#FCyrINGsFRmlD3uU zC3FA-iXhTar5k!(si4aX5aFm*q#*4e25pK1Qr#J*t_C&2%p2so;~@Nqp*v`RW6q|y ztq3%f!MZp_RGbj4OGY)SnO3f$qV8#eP)&yu6q;eWb-Mhd-yGhQOdwHJ>prq;)YCE5 z$}zFjdZ-1hzk;bB52&ifuq44@@Nz057iiSweC`R~er#|A5 zp-1>WIUyuUQIa<{j@hJltUH|<8_9%pe!a?t*J}VQ@l>^vC+pUOgNT!ftc<3*;wu?L zRjC$A1-Lb;7R;ifR3p4AqQIV!F^^mpIuhag6G=oZ%N#K%lDr|W=n;MEP}bH8q+;14 zNc0AHP-*K~B^8U;6~ey=pQp5>U|gwGycM6uykiTKBG{wzn_TT^v`XCOlQy_cwa)#IuenYYgZOB^=t=UHq zjsTDA*Pkt~0GnG!0RXLsAq+f9!qScGXbg%^l>|}t2(m|#(xR*gk|GLg;VTGXJcAR! z2hk|>L+FqHL39e|6j94gLrSR0XnS`@U(JTgSf__ELuE=lW;;S!DUta17!?XEi5Z^~ zvohrZw3g1;|4EVAMpe&DI9w{*rdtns1{0Go-y8r|1dsKMlC|uD2HC8YN~V#~SdwGN zLS7BSAvLH;RJYpNOj_HR2CE*$)QY(XU-jrDtCda4t2l2J2zJPj=1@ZeCAKw8GGNLf zhE9smV>HJhxBxS<;NZMw>lJ9=%oeaXPOE$1>CkiJv1pk(-jY+p)il)F#`OSCdUXrb zT@v&KNB}(1byN<`(waR*ldTq7dW5gAMhd&>qf(meV`>dcrGH`Ym5=N8-j<61R?a@$FZfg(v1ffmvw~Fn- mHos2@#Qbu+%^wY^x98Z(ULp?FZ0ZJ7@{1DJ+}yD#&iw;q)KC!s literal 0 HcmV?d00001 diff --git a/hardware/pdfs/sss7modem-F_Cu.pdf b/hardware/pdfs/sss7modem-F_Cu.pdf new file mode 100644 index 0000000000000000000000000000000000000000..f67dd4566fd3ff3d7df7cde51c260edf4bb05110 GIT binary patch literal 20129 zcmb4qW1J<;@@CuaY1`e??w+=7+qP}nHm7Y(+qP}n=Jd>-nfKoP-MjnW5BnuE^2vxN zqO!80&Z(*(lNT1HWu*HCLpE|5R{+C8$UtakXbHp3O)qU?YvycD$O=Fd=|wH9olP7G z=|!y#oK1vHjO>g}czI!*oE=RJY+&58uY9CpNjqYXxK)viPPO z2~vm0eZ%ffE>o=aq!FZlNF+2ii!`x-5{qp9*Uib3cpk>jk>9@#W=4L$zTCYL{JsI5 zoB9177neN#bb7&DY{ldGMsua$l$A%tCpz`^(DUnMgpco>q@{8Aq=$F@WUzaO<%UVWr)^BrofZ*|+9ZG>q{am2jP`uvVhTcE!FgL@8b zeE?AUHm~1Eqvh3N7kOvn7xm+2U*mYCeosCmoL3Q-wjct_tk|;ierxs}29Pysox}U# zc5!Rcy^{RwAi%Gtu|4|~TAuHGH|n%H8xra$u8+Ev_Yzt7LwtcIb3@>`*;NEksVPqT z*5zm3;;e%P;XeJW-sV>benas7RPX88*xWB9m-&W)Xp^a$m9_tQkP9^d6${XY(v-B3 zy3>)eBLIoeyP|jgc?Ix60()@md-5q>eiIF z$lK)w_04H&CS|!W_WsQb<7Ab(jQ9-uVQ!pGuj9k=$clcBKrz#a#}WKPq^W%5E+R(C zfUnzcr+Rh9qEZk~HD_Y8HMz*D#-@m4{CB{^NmyNjn91~g>oc*+hHmb2&;g@pc^(VT z^AD!NZ9XtpmN=fieW8xD)%lMwU!Ozem&>gMH>){xyKO&SNhMM}tD7yDyXCBuT4>@r zLn(QgO1=DDt*Lq!y33J;)_k-N546*v3aJduv;t?kr4V*GuG4WuLs3!kI9H=k9nh0q|)~@nu($KeU^}I@|Le0ea zn$LJK8~H2y1$$1%h05td^KiPj9qGh^ZQ>_M`d>@s*?NBoy)Dbk|V(^R)K3k@CpI zYUQA>ilVOQc$}gKUVPvkV3F$oEE0QKqg73Hk;k};wl4^^wVKMnu&&K_1p(2U)pEFo z6a~n}(XRzd8XWP1SK@707m{+|tk@|yl?O*@RZzz7h7Q&LZ&iNSlMgsfZK<|Ta2Y_Pq`w-9 z|ETLa0(MNDb6H16ZMHr$`!lNznTV^$%o_N*3>PJJkk~|INQK3dAbXQ6xO=A(_4`A< zZex3Ln+vVuiK0%pQ{%z(^9ch9$-;Uo<3PCLh9>mnw@2xALxjmjnH;78@R8_WlZ%!v zv~n}iu75I}SVzocoW7~ngG&IG5v`WjF}*vPzVXxr<87d0pQ$$1V{asuN8LG=N6|1d z_1bzZA4cc>X|2`ETvc=G-!!3_W8suMQ1|nTth=;p2o6OwWjRN2uS9qI38|jiAsB9K zKlJAnM}l64a=FdXHAg~sar^8s<=?!ri^KBBjV|ZO7#&@SG`=vX4OY9kXBNtgADH7S=Y ztkd8JL%!sO)tqT<4pb5=+FBA$$rr6Z!BlTmxjOf>Wmr=)N{C1-NHa^0BpX`}9Cc4^ zoA*VyglcllN^?jWrvayNmS#B*cFM52#>6rwYMnz(Z6EilQR)}tE`$c$ZY2G`FkdRa;Tyk!eNZRLat_?Bg(%DxvY#XBYpX$o)v86=SQ3) zw>5del=`A2Q{H7GaMCr9?{)N%(L`R&udXF^DI_zi8wv+>vqRJ z-nxhXEUwbd+0m-yJN~oOMR#oKuUif;De0EfLyY|67Tq8+-G?T&($|(dA?4C0llGEI zboE5YD+~+y+ycF#w?CmPj5y(~D&UWb^uxXe5p+CH%z-)9K8e3_T@Sa0$C-!l$;JIn zZJEYcD*mV}ENg|LH&LVeDc&P|bt}jIyVJ3ZZsvD=snEr3v+El|T@MD>rH+N4ImM?U z{InP91;yhPz{%MyS7qH7lW2KZEU}kR3V2^5(6rZ#M>X|VLRK}SmaF1hr z*KLHKi{-i{J$dgHYA(k*c^?P4_g4a7$&HYHT3&>97Kp!^pNnF-MH1VjUnf(>e@W`Q z5Sia2hB@gfTagi-^<#!k`eDZ!=JRP(z!uWLI?GMRDQ-QqZl02aW1P8O`Pgg;9eL@p z&mCpZf51Ny<|rn_Op`t8zpU4%49W`(MrwQyZqZO$|M0i$p_22{UT7g8-Z?EQ$CJkT zP5J;1tA=Adm1`BUO#bb5!^49v0qAFhi#`Ww1yzNpcxu?MRT9~62TXJJQr)m#;}M}H zt>L0H(am=wJZ4u^rP|uR#F`}^-QcsYUDj2Uj8M&;5m7Rvv1O=%XVFCbWS&&rE;OwUy1l#8PI=+vx2YueZIH7o<4LgG94{G64$EiUcP~XRuR#GLHuNG)s9GW@ zgKKGza~w35*K62(h7Vzl37j!da+wtl^P>qfpxF(&4Y+~g(I1w;M*ln`rm_7uka17- z6Mp<6ev?#nm4| zBu9rEc-LI<7z6{nllE!O7aAH3#@%Y2c2Z`hL8{WJzYVl)(#lP=WW<6$qBB>Gjcu=i$ zLAR$8K*!zQ_Sy0RNybXPVI@d1sV>)qPmqu*7Rn9Ua-Zr`-P%Q81=|1^hzIm8U$Vz ztiQW1%6VZkjUmEyHHD*?wos#tDSv(~UZ@N_3nyWvlB^eC)mfDIQM*LaFoh1Jasv0G zkxfcO446HzwFZb(!KbK+DX848(-$0Qr3BwKa|!NuojkkK>-OJuPfO&J298 z1&?*>RT}5N+hoY4S z2~+TEBUw(q{WX?e6Q?~Qx$oQXYf_|^kX6HYACirTt^0WJ;o zlpzr5u-*%AqyXn44ikftgXJnpjwK<1i^JR+18I6OsIDLhHVa%(mj3Ep119oxLE^W9 z$Q**Qp3rDZDOpw_T?+)34T`;YcDV0Kq2cT9r$-nfgJJ`TOHcit{tnX7T6KL9}YX_=!Bjv`qgb#eUZ_lU+~Anq3R>9lG0xE@JCv7b084T&$lI$suaBINW zzek4`q|Du56<5*J8^-kdnG^sRVN(vSMn(?B#;E0wD!;VU%2tPNALVtg+LuXT={y>EmVcr@L{}%;aqj0*QBlr8VbD!OE=_utq9oBGLRAKg{8LGGyEih z_aq7!y^oN)jEJ^lL=7DJn#gO;Z>p(X5OZV-pDI)(kZRP!7RU#WLpD(#gLG&YvLz=O z{be<`XuL9FX}nR+Kh$9*w0v$hq7l-7mC%Ga62iIQT}UFFz{o!4%j-nWOA>K_pccse zo|VA`%&6Us*xarEA_WYRF0;MDm?Ih5OOWp>*lfa<)~G<#?&>;p^(8nS@I8;C0S+7X z+GmL%$p?6%hp|;h+|%|QV`AH~iU;uKdDyy?tTXj7cB8-qF>RfN08Y(kKS2|)Ekq`( z_I+;2x<5-Gt9~H>{#L;1FQV1Dlcb3x)7X+Mi>L1>fE!*uu#Vb3%dCZ07u?OR09n;X zkE&yojN-Yi4rXO{HolY{-_37s`y*L^+4HL{>ERANa(Ljn-xLR$#wtRQlyz%kZ#}ND z;F0dj?2LAgFbZ9JzGzlMS5vOm0nEFOTA{Wc65;mj>D3gdJ1@l{lMt4G>=8E%k#X;? zsf}>6H+d0Lkn2~Xt2sh5MCT##om4L*4kHd@fv;TL_z9ZSK_ecmsRD$>s@HhGH0#jF zL>U>!2!n+?+geFRDOrPT^%KBdMW7~N$Hu4#^Rr@3&?Zg8dVSWTG>?(Zz+OHv)?T7) z__4WW!^jbR-wvWIN%mfP~PXml=n)eZx{rB1E1 zH^<)b2CxdPs4|kL0VlLxmnk2HhEKYfl`ySrWsPgMTzz%gYUYA|Xx<_@pmW-8=E@L) zDW-H1kUq8#;~mWgHu9b^@0@^d_@0BY`2*t(9L!|Xn-&++7ucrJE4bc}l&>?_D9FcC zf4_N6^?Qu5bN84hXLmlLSytkFo#<-er33D-tF>rTq@xo`tWX*Q8flNuU46!_I>#VgGm z9KJe=$VCE|^QO0_@DQ3VR`h1z0Eck0<|_?8CNf9xa9t4US9H)Uh4ar-*oF+-+;-bq z{azAQL_HBg^+6_m5ra>mbW5{hw`n>c^1l*Fh$6oZaJ^}p^qTRS-toMCTV^&HxQDkh z*!CPwGV#(c@|r2!_S*iv?WxQB3`b8_f6`g1eVJj4+zL5dZRSo8$#c?0AIBpk?{nD} z?KSh{$V1USM+}LCm()fW-`Ve8B;NpQMLuAIa2@JA&)&OwKh z_mtUK9c$2OXeKFj{aD~;(k4|F%jiqL(*tzh+K-=He6)}+4PJ~VWJ6u)u!i)<`w9&h zPIsJZQ5+u>Pea_v%_m(h=UCAJWYEK8!Nq)4QCy=w!H z{u)fwWr4Ngi^H^D@ruXI29GAx3A=?loa~?x3I2k%3ApD9|5~nr>La3|ES58&!Yyk} zkq}Wv;Ix#S(n*FPm8N{cG18~ke)zK1jKY(sCTMyF4_&*fy}_LT+qJJn{C@x1B#9*6 zOV8UN3`LO8e}UyAaEP_0Sb4a;n_LsyYr`@NoAM;5iv=T-`i7>3317f%N$#?9`e)Nf*LcU=lwq z{Z^Vi_TX&KD=Fc_;96L>^W4)duhxrDZMULnc92l@8~rDeI$NP$e}}bW8>rjyw6rhk zW$&4rr51#vnV=;(<+Y&V0~mg|<3zi&t)W?z@@;o_s50d4sUU*J{e2&9GO|Z_{69c6 zCl0~C2uBdv_9q>JUvgZ$X|x?R`b%7wJtBKSRoK0< zhrY-|JE$VMnXmmk{^Iw(O9pZC_{N@i#2X1%zSyu-2G36#8x1?UiEuCgdk#GMM|w& zdj^5SwqR|#p1(rg2eZvE;s%0saE>Nk8bQiny%I^SFqlYZThL__(hrXDPeYK_@gq@7cIWxzV4upc5S=ar7+*)&QK3%{%V7*>V7}Tz zx8|Rc!yLNfgWam&uphzzdCxQ|Qqyclten@Cj?Y*p2@J+J6UQ?!x;mK{OJtc3GTYTt zbZ_LVi~kabo=7}I6ni5XP4w@ZIe4SWo=0nczef{9HI#c8!|`YhfhiSSS7HR$B zO4M*_A`0xlW{5h~fm5G#ycH8-JDk;lEO?puLJPu%3>4bo##$iX?#3FpIrxwfL^#w0 zwH=%S+8YFnA9IfE9Iilu=F@_JN!i>Op;e)k1H?pZQma=iT2wHz^wXLQQ4+4qw>c3* zSC^R&hd5^XNW$w2@(d2^kIobL4$bWch{MOCUu5q3&aV7K{`HZnnTPf5gJinROBtu> zs4X4=GzIeI=r!LcH^M<7zKWI@tN8bp63@Y=t$q`D=8w)RkEpF#gd2$krosTP6XXK> z^3Lvbw5HHvA}EK1>$&0|9ffGthyvwh+2QRMM#7M9*DgL-(qr10AS14Z@5%2!BYh>E z0tWg`gyDTbk{dm!*2p{L8)vJ&Q=kxpmw=-v0^R_&|6oIML^?#27I$V@D-UtXXa4>{ zFx_7Dq<1#q;9^%CYJzBNUo!}?uAH@~cAHR#Q9qau|(l9KDz@gF^Y%G^Zx|ww9B4*DZUf z$Wj?Lt+$ zN{Z5ne&uZW$y@}6B(o&puaZF=ayWyq>!DmMm;asI+#F9BsU*A+QpPi;&3c@Z9C$4dkqF^#phur zh}Pt9o$vv6@rJk~xdCKAhB@^S`Z@Jk`Z;&coh{S$1l(Z2{2$0bcJhtWv^xbLgy|Hb z;F$CK_#?mvL;@@haZ0z;tiiMRkO_4o4p27^b$B4=9f5gB#Qv_|2xD7Es91KM*?WCA z5AtIWyl~^iHxCF=p4k!hcn}&Pb?;$0Q@mBy@H!%*T~3S0y8$(j2~OfGr_ZA6t=cGB+o<8 z^8}u%YLrEch00tGzC1mcm#}<6D@%y7tEZ_d{5cl2UX1jCxj%$>oT^Hxh+IWxq@dQN za?p|-^*!;pl&U}+Z=VdM$pxy?)#ja?P<&a2M=qhk-D1H)m~HE)GWDkbzNCt4*ln=? z1|2h@WZc&W=7J_?Me~V@R0M-16&RJS6xbY)@p2+!_#8>enIFnL^JcRuVRgJ}(B({| zW5TH(zz&f-lX&96YJqFiI>#aAtwQeTrzTh;$@oj#X2MoyG_$EHhzgqk4L-xj^<@X_8XEuZhBF>hGZ2K7zSvw?CrkzUYUqyRC^z8|?3>(IG|6 zOV}0zG+VrYMr>5Buw{J^R-eC+DcIS9a|^BTlK=_x#|nI?u^set8DC^T~-< zcKe-!fZ|3aJ4-2H%xtbz0{TU`&xZLfwuxg1oyc?N5!QIWnAXh>jWxV$?kcNQz!}O8 zsn*viaLCN zUV-|VtAyAByIYWHYIhgRiQlkNy%2SBviC>1zOmrAj;rhL_ejW7d6WK+ob2!4IApV9 z@&c65!eMC~kpmDfAP6Mw+vuo_0-?hds?v%E`qE)VNU>&Uc#iio>@UTj%(k(dhLyRE zdQO&VQe0;W`Nc~~-vTb+Ns7}W%zCM)nyE9~fh^o7zm~h_&-ae9OALX+rx7vIBSit_ zgh_Mr>kgceXSn-^q>_#x8t((s2@&HXfi->5WSZTbA*0dET@LS)e7(h6s7e8J^z^)q zAbR*9#;#bDEzGQlb)3l?SR0cq%*`1$X4cGnIrTa(%+2-JX4XbqGpobxIrT)lGppSE zX4b2c>_!8*{a71M&cm)l%&dQJ(N63}LiNGStY32Yj2;?Ddn58>q40Vsg4x4k-Eqwf zAS1#+fq3Ih42c@ACGi8^sGt~ulvO}p~9oxu)&@5;dcZ2Ntrgse}m*JgQ|D;E@6}n ze3pnoT)%RIgy~QbmzgZnrRa>Hwx|L3f#K)+isHt(lMs&r+ctwKz&jsw7QJ}DfvpY8 zj~eWG1cSj5#Ga5qi0*Ez_`C&JZm{b3(!50GzHQ}%bqJs=5<182*6{~(RMG&)VxpX) z?pEC9x2kGEeSTFZ7eOxvXH<-ys+D)Bhl%F7f=2 z_yhHwM421PIe-x?`!DOs-?7Ib>Ei#%!~^;t#JqBU{KffzQGCbOLj;ifYIRQjn+gz| zM48$5M?ept!*E0b(1-t}#|Qp92k;oeGyPxcCn_(1V;Pkwd)e4_`AZHswtt5px3u?n z!29nAJ~VUrn7?oP`Tl(OZ`5EpXbNt^-GyeZUJo8sJBIuJSA6XFsknf-~I{k|Z zK;x!(uEFs64_ye2Fo2%;FTGgr-#LIsgSh`z_gLbgdg69eJpXKV+xibje?=u1_P_DO z{d=N2^<+l6{N;jVz$R%)2fGVSLur@2#jKktXHOeew}_NcTedTaX}6$EL#C>wl7>5R zcF+^6d2x$knPl*2X+UyUe4*2GmEr9NM=k!dPQ^rJTHnJgm;g|JU;{wj%cqq|Q==aU zF-tB#ToM91qVssFL&q(%(B-kl@`wjmdfd>}ZvU{)9fUNSssq2tYKtnP`XaQ<*+Xce z4$GLQd8`g9(mOP(@dfsJhf|;4+*zNC(w}=EN-M{iUE0)7;7~Ve^r;tht$z-gT8W9l z{euJ)w8aNPlvnyW0*hFT8?!4)0LELs{p+T$4_(|O#=F|kGbmNgzByb#i$5}QblMBl z<*48QocYWLhP)`Ga_p7-Ihq0jBzPJJ)ovFnJtez|1^t*0et@??FEfm2C_%xSymQP! zm2xUXU29e=6}$vudwbacMC|z?;0@VpDT1$!ce&n3FVQz?6>o76N?MvNueGnEP#Rgp zltSvMqM6|vWq`DcfTln-I?BW-S1^g8YvS4hjeb%G2ege+zG5^^$Vx|ntOWxUjW~=L zVG}YD><(;TWp7sGAg*E{7eJihg9}siuD%YV%Nk$#ZB?ldhx(8T$ndrsEFCT5Th#a? zaV*?c0P7V#9JC3Te&P+nFB7f68dn$wsc`>V>%=hDb(FaL;eb5e^+d4R7Xb&TWbLWNRkPCZF=z6xJe1*|WQV=?Kt6pI~I{FQcS%vG>PkX}+Z8@D$qX-pNJBB{EH zn_OE+Q#)I^wShgu-o$}vZ+yR~|7d8;cq~c@3|kF4DhZSUhs1OBp#K0l`xdDQ1q1(9 zbR-0_U*w?QX~{*)y@s=2@Ig7$#!uayo2v1aJ(#vlY*d~-*gC>09r!APq7!tKoc$b} z5n-*Fohts#AMRzWl%YR7ccq&=w)a=`l{Ueez2UxDK zl5axp2cta{JgQFE{ee69!l!aG43pq;3Dh`JYHnjoh6$xwLEAD7xs{}YKB6~kAZdA~pIVm$3)@AxlEuIG5a7!5p3#HRb zK|oyArgqdPu?HxEm@ns)TW~+bE6Ik_c)wo5t?(PwN=Yn9aK==^rnsD=b!H<^?{|xw z2mdo9EL!48ioun0+?^u$ByBjtF~E(wS(GmP%+-%n`UnDSa{A^c;P6?q38k$ z<6Xg8Lc06hqj+?VxhpZb>^ClCREo^p@Cd{y*}=guQwqa<;UB1s4h3rw>Fjfl!qVP< z)Oi1>5&Thu1*mn$PyTqs1lF_bDXWaeYK0sWFu~hW<^34HyqI#|F((Q7F={L>j5M>@7!SIn!5_3~I#IlknG!X~c4wB?EqZjpHfM zcQS(kNo@niT#mAEAS&o3#qulepKVi(AR!5+ediP*v^M6|1d{YqCyBwTSywRnu3Ria zDgi{U=om=KUo9DW8CDK%TpPfkP3!d4M1*G1XwUTlt8Nu>_1EBP9C(;8(KDzb^580> zxG;_p-z`mtSkYEDGuTGZ>s)REql6kiW%h7)7W4d~Ig+7aQJ>xz@&#SEPoY`S5HQ9) zUf$fP7v)U2FM@e|+)uIqdynt2{@U)g$VhL4C~P$TPw*>PyWb{Ao}P1v1fpl4c_VJ8 zire(66z}fe1A8gjO4zCV_YZ)(eFOHr^JhCCMe1FjkXq9FrivU^4RkSz)w1$}eh)E{b=A7~td( zjPB3=z*A=v;2;&~fGK6z(IOv~AthHFCeyz)MCYNKQ)CKf)XaC>iLN7ndQbSm|Sq4ruLM^@2kU|sU2May-)6w z=!ebH`mHn&u5(q$$B7@C1;dIYE6p-T2CVQbh%j%EE?ZE)0RHWNM*@Av880XP3Xi8y4EVhbN7_C=<@)AG5G0>;?jKc{cumd{(;*>3nywsHClTTrC?WG{ zsz_w{HB&j9DuNvCKrK)r#JgjzC~$x^Q&~7r!UZZz*?J^P`HeyNd{o}yxzRou&SuSv zz!_e*8&zN#HSX>N*4W_^+fQ0xn~}YeK5bVOL9UO5gK7xHYva^02sj;V)1=4WX2WVV z*!~_mjeYJ~+82E>UYVS|oPgU@-5WB_-_tUbtVMb-} z@%{`BzO#8ab03n&MThDBqYH{1agZ=?!_7+7awq=4ieJ1rT=@9mp6o(^*hWrv@V&qr zr5Wfsc5KXVH(0V#Ffhvw>3ZpvQ#ogr67fQUrr2#fSwD^DQrD9d%f};KNcoz}Usy zw)1kH3j#%mBi|L?Z8nP#EzScUC)%ZY9y=aQJdn?X%+=z%fr))`(!ToSbP#{ajLW5MG>sV3-*f zO?FD|6$>I=N=7_f+?Wpboeq$%D}8%yxXXjQsf`QsDBmTrW z`Zbi=x5L;XzNzqup(R>8^EP;2@F=+J9(>@*u4`h)T)fa1S6iR;3Jb=I9WfTDM$P2> zLj>$)*nT5q$DC>iViPrUKcc@Dm3DP_AbT`X+UzE>F=Q;p;3Mhn$xnV?eiUAM!P9`@OVL`VB>(fqX#uyb zjlm-n?oX#*`kWA65QH41o$ZFs%7ln|Ry>NgENdiKg?S(#%oA+V{uk|rm`eN%i(?Li z;SOumq0$@@k1z@SE88c5cUx=q9yCw!zzQ|Xpyb2K6H z0q}i!@ju85ErFutwHBS#wHEIW=Qg{y$@!!1BW9_zk5q1m?NFqA0?Z58G{4B)#3bc&#u}>thJEdJM%MEK>MAhgJ*cGsE-I0063Mn`rkjcA~+Q5n2T$WC>(gTC?7;)vi>$Fc1V+J0~6v)v?){{go_tkGo#A6R!c zo(BXdk4JDkk*A>At0AcQdJN&+Mb6b9Ii0sP7dUFf>>M8HoMkNl$!-iG-CfF+a8R=W zRDfm;n0Yr5j{%hKAK~#L^)f$As{!=e9nqRV?TayF-5%l?f@jPaLJ`dvLS01sB~S6{Yrsl>S<^24BU+3h1*^!okOmX+pg>bE`7!H^A^ZKwxcqsf7i~5z zAqaPzvtGW*wphp=IBTh@&)HC|pdt9?*iLv}IA^VBm2R}sKR1x(Z?cX9k1Di;yn1iM zb8Mj-J}iV@w%cK5+cJadwnPBeZnPTQRz%~30yTEnE`;`7u-ahOl)?|7vB8yWShL;x z4D7mOvBK%LIQZ?FNcf}j*|>4f+O|)1;FWF&cP`kFFQ2iJ$hKqvmu^h@FW8v&p0NhE zd8w3`@Bn?5Y;@LeZI!reoi$hO7i=h^Z>6$qIcqIDFW6`-$h24>?i-Ksx7kR7%of_h z-n}(bIkeLb9+%3Dx$GpfZ<#{;i4kyoO8d$gtYpCIY+J`N5eqik$=Y&RiPW}|$}JvB z`_5TxWU~JV&xE?EVC;7bspFv3=gpU8(k&V83pPZ{&RR$dHU_=UT9^!5=n&E^ke#^T zD&2O9P?+{K>FSN3-Zn-$_hk9NXYU~Kca6@wdq%$y%9GuWSgS$&BRNX4RAUk+_)#ob z4a@NG^SAmtXUwfvXUtbn*FJy_wN`FreJ`+4Paz4nI!Z$3-C|&iZ_Xs^t+qwmYCH%+ zu$+IABjK()pt6f@g2ke<1*D^pTZ0HB?2{ukl%J9#y~tlR!`=bie9ycRWIC#)x~DCl zezU@jzu|d+Iq@t(tJzu(2Wr%ssoe1twTa;Gd7^nP_kqxwG4##M>dUyl zf_sZxIA!?)$w?2nNwt4b4eDkHdV`nHK%ad8dPD9LC7%qj?LdsbBK(n2-Mdq~XSStg z-YqzO)$wh1t=F6~<9AXwLXGW0#_H5L5FGUM9U=NSBPsh1qG7E!UwGH}6(M?$E51T1z75gdHXqe{j6$GWkX?)njOID~7I;8B*=Yj-6T(Mx4UZvT zYMX$eH-6rD zNvGw96#K@*d0?sE-DN}3#~N8SF{ewt($GoJ2nTr{(zwO&pb@yCKir)5N@=l`j`9kq z2x@z%xP3~5a3Bs4MtD&C?vF59C<}vQNVK{AZ%0G{OR=sO~pHnO4FEo1YdJQb5c1{*F4 zldfl&3>;&|fbI#}xLQC1A1XQqV7h`o8gEs)1(WY&a3JWq@pL7MmlGds70QdhK1Eke zzpx)ZK*SrNF4>Nz)vpWz-g2*V_%F)Zxzw$%ks+vZL58oNRU@cybu9^4mb!5&PaMjI zfjE{5ElyuMhp(^YAjoi&x^a@;#p~|H4+?wXZDoX5?dAm>iTE6lss z zpoz82#L&4!5dSF;=3BJnM+x#s?oDaf|^Dh2b*`1g5{ucUR~N7KmAnhWl(6=>?B%u3-=+eMX~r zB#(hGIUbK1yzlnS7M1KKGWpizVw+Y77mAadtZ&BAM^h1na-@h%4{k+tWjT4dR z2bRTAfnniHC|dj3Pc(Z4aigQUCGWdTgqP875qqwV0FnAdJs?awjzlo~7jbHEz^YF)6w0s51_>bd{I0G9uVY=i%(*>$yh{>6f` z|4U{4<*yxz$OJm-@<@Se?q`>FDYM-Hd zMS%4Jyvv4J|2Ot;@iVmbFZ5ZRruG+0jsGtd)eqi3cAA0!S4n}(5^sMQ0RSSvFEYp9 zISg_4zrO$N8W6_+k2v1@@8JJWs}G0&7q92xzv5W$krnv3F#6s7`=jv=LzIJOy?R-H}W z*k`-jwuPn*wb4OMxQ~`g!IT2Rz`YXt9%I00%qTR8q-D3~E|_jU9!1@=hwLto9Q$4m z9>qI#($}WyO&*H*78QbV=-<^w_n_=+=x{Uy-R}!U7z3l>*s@Ry520TSXMWuo40W%< zIX&?%SxNyDq&ZjLI~~k6qoD)a0IIA1RKrEPHtO?*VPRq2f{U7BDp>XbUuFaZ(Tq$s ziRvHkyDQmt?WEV|d5|rhE9T~6U1(R(8->FDQ;=;Rf!y*Ll5rMPW?-Baq3O=(@2ZW} z{)6~f-*IU!fu>q-60T^gAFuh>Q4U=0|KCKRN7kLsn= zT)S)7l}Ea)U!FFuEqC~eNq=po`BcT3R%tZkGS!swtx$X9h@uXp;}8yZ9=o9)@DWn< zB~w_hQa`rdaCII!&J|n}r^jO3(^s4c=^v}U$3kHL;k1gsIsQ;f=w~s#5k^o zeW9p(deg`^Mgw*%17n1hNK3Qlun+`l3fu03udATETwgUR+$NL8tCfK9?VLvh{jQ-= zV=eyFfh#8etphg?b9fV&93AD~j~<01k+h*>VMua%n!NN3!19Ji|EJ78L-X$nMb7^z zv&a2gW{-uBrEPEj4$Be<)nuQ^{0viaG>=Dr%NVTPaSw8$N9$y#$e?>^M!a0%l!0@S z==$fxi2YqHkz=VfQ>F?`k_sV{$$Z0NxmrVesB0BCTa2QfA36@&a{}Z~fowe0q!Y-P7%oLT>!eeluibI*Z`bV6 zTEU7%fDNF~RjZO2V1t)L&)Ttt@QeRx-P9aVY3v zD%aE!KN)Ts^?{`j%mQq{Lr8n#N|;h|Fri5|J*=_Qhv4jiWYXDja5f*!&RdvR)mqf& z6YH;S{;5rSEtZcbYwTxSYH3VO|EjRfb=6Yw1!LxBD#P($Hw8ns-1Fu0ju4tCU#Vy%H;W!!OY(Ax{FsiYCH)|6VTUpDFIUbF1|)$!whwQ@b7aa3VkD^eK> zQV_8q_)MvjlFq*Qv$L}^-QAMzCTZwyn{HMc8)BKAd6P^tJ2TA8vYQ+#9@ImlwOEP) zJr!CiEh4rc2ztt;gsO+?$uBf} zpWhWd(HC7`IkDr-R}Nl&raJi)T+6>kujTjE2Ey%==eD#4UVMGe;j0TvuZAzIn2+N( zDt~Rc_1tw{dbjV7xrH0Y?a|M3UkQz+Q$N*4@7~&e@taGJu-new`uZgNGn?GJvT$Yg z%-(N*D8GO4gBvfM+y+PszBOkBZ!Ld6AnqjA@Tuj6TIVMFkzWRX|CL#uSVe>w+57hL zm%4Sjy!7Pr{n9(uZRxSwuiaUmS%hA4C*&Ph-vHly*8TUVLBs- zNKwTp23NfZfK-sm16x4c2uT!X1?VL7nt?$=0ALvlJY$?hlNcacKxC*Ljf}@q*_apb zS8&mDBajXe#cioFKuV0E%XM&E5T@3k*qjjIgSHu>NroC*Wu_3U&;;$m8c|_YG?6Uo zk?wfY-6axBD zJl?EF)V~vzau=gUNb|645~JL{wyztrBtmsEZM%8)=g+=ik!|MLqjH6>_(d{fAD9ow z`23-oF+U5JX4riNCbv(A&=Rh#`@}MPfvY1UV`Vflr`b;0NH7=W}=iCj=ivivV^6;79*KbPeYkQ7@=L zN)*P5`wtBr?dXUZ6M7EQsI2kFW_w8YE0uruQKLbVnDS{Q&uBKFwTzbjPl{}IR83>m zV80nSaXpv@CJv#tg%DT)EH(|z@`8pA*{u7%V;ee6atv9>7IH8d*^xsEakULPQD@-T zw0bm0cc=4gn=^@}H=T$r;2Ez$a7gNU7}+{35_l(w0jfe`o5Y14qlY2E4FJGugm^{| z%oa3ostZ`cP>CnF;p4?-$`3msb?icC5G%($gB}p@m;@~WVvkoFPJuz2^laWjy)Fyg zJkPdh4~5bCb5iUz@oqcle^58r4JR2bE{GZ}ul|xoYm=8n5kAGZELomsfep&{Ask6b z^>@k)L~1np{hJ!s-K%FMEEYzV1iYmcTM<3*NX{wxt6K*|C z$tw5Ll>1~sNPy#hgRCOJ0lJ=+<#`CeMp_!q?~OEN4Id=9?T`Qv;1C|S=Lzf$!Rv|# zFA4O7MtIb%Lq)MvQUp1(Kcl3F`Lv=A7S&8Ptz^}-q!b>`F-6}$ V!blII*r9@|C^EBa*U;f%<{t$Gyy5@= literal 0 HcmV?d00001 diff --git a/hardware/pdfs/sss7modem-F_Mask.pdf b/hardware/pdfs/sss7modem-F_Mask.pdf new file mode 100644 index 0000000000000000000000000000000000000000..7ef290a3b022cd700d70c25ae47db9b7b37fa2e3 GIT binary patch literal 4110 zcmb_fc~}$I7WWB=2HZuhQopH+0U^vxLLiAGh)IwoL7HGiP|1)?$Urt{h7v#?n__8g zrDCmEQQK!xaj90G3vOj`L0eqVwkldT1QfKm;Z}WjLU5`5Uf=sZ=a0$Fx#xGzx#!%o zj8}{-jDz|Iu)GepFaCzbhY-k;l)?%Qh84IunKnRyfTDuK2qTS?5FBR2Xk3PCEjnBx zVNo=RVEoA~Fs|<$ z@BUMzMddnS&Z?hxHC|c$=*j&josD0T|9tYKb#qJNZv$HL`Mb*+&gw~llzVbrrpOX` z@7dFvJFj+)8?}0tZ%fF+NjZ53aumG?tA1Uus*w4 z(x>J}<9*|n(zu#O@BSFCacv%+9L4v^HDM2T8Ql`@g?_O4r-vWiPqi%`eC%v}eJ{a1 zr(-uhEM{%<>msI+-y2%n_O0#LocQGK-6b)a=_&mW)lBdhYMj=~uYBpCT-#as?{856^KBz8w=Fn4;`_ekztn~(!<(*{3brSV+}2!aOqk1BR_a-`ZO=jH zg#owQ8++~Y9X|7ebT`3KlAX1+B%+{XQc=k{bjF-v?wc3EZ3{Jew~hDf6Z1PIM>T7H zPR!5S6j!Z~I@exUyR2!Q`0Ux0-GE$6Dbj5z`t;VqaJ^g9za@!dx9#{i zJpNttwTnj$Q_06&AJ5NP7yDpBTLFL=Khvd@9L-S98C0JsZ8|Wz73$owJ3Ht^Xl$vP ziu2mKe5P$@MAH>adi#t|vtd(ZtsqRnt1&ljZBleCzqvkoUz7X4Mr>c?*VKV6K2lTG zUf*!tb7fP+%G3KN-u2Bd^;JuIpZ`R&e!=Mv1W7Xmr6sc?hix17tJkIJMOvSRuLiY9 zCLj8E!Jc(-AF{KGr+u<;)kQz$#Fn%SsrU9RWTD5erq7qgM>b@~#9za^JT+8#-#y2N z-MF?=;}JOPbltv~1u=axwu_H^DLka_+5mu6kMqM1t=QB)*5~vT6;V__rr!@gD+b;v zzZZJzi&<;Iu~m2Ud#DomxRM~Bk}5*}?D^b#3GJ>s2~pqW`8n3wbp`Xw(?a*1qz4XJ zu|pv;Txh7t*juo3=l0RX8rHY2Ta&9?-&2>>P*sbg!D3~}Zd?_5#jP&>(oZ8k$|`<1 zaqQ%-MER}I^*)ka{mz8u6Iqis7C1LPh+SVWyz$xEih^99Glt&F9>;bDUE1utH~Q3V z&8g5M&R;pTkK8rNCS0+XFCjmPiaYkZFfV!J-CPs0f6TG@Q;N*(lERhkwUY(0Q*@Va z#Xa_JkA9$Im-Jz$4`Ek!eRZ+R*~xdP`ittiIJmmb09S7n7iy^rtZT!ETPb9)WsD3N zTv6&?*ij!np?~zP@zI9czIRt?tlw44>@zfSzw^-FgD2!;S?-6g$JX9bcW7EPQ=)#+ z7q5bVxu^md+=#)+wk$F6*)iHYn*s_XI8N;a-ja{nEB~ZX`Tmxi^I7c z-!uYGO}fe~zn|Jclvx;yUa2Abx!e^B-q&LIolc5Ewml#5*(g=*moB4u``&Mbs^>rVnub@o-fukVWG|E_+o0-U zPo0!qQ1!J&<_Eo+;MiDmyz^!!y!9XRDcr1cG!?L78WGcem|rvyMOhA7z{@5Tn_Of8;P4~h{rTEK*uRd8mYx8(CT7H zi&l-(2{34e03x^1Q`L5qz|IRg9W1q&X@I66rbDV&faEZS5!c-@A(*iSvYSIea1^1V zpad3Utco=o0us`IcJvh}`U2`eN--KUT9SK2I4o7-G^PVPknm5cgI>qKw3`J_i%~+T zMB=cKIfkRFtAbbzO!e{rj8w;_-J3n?Sg<-RiDvv8r&RPz184xklSmvP3J$SZF>NYN zLrHitVHUG*?>WGR2%VTcgRexCRw-^E!ZS%+of#dg%}mt_b?gucD>wuLk;OSS%*xT* z#|jFiMl&U{iP?6D2&@@0%!ceNbgGygr;LGUi^Z5q z&=A*O=#O$xo*$$qF%zC{AyXmLKadSXk~+OeB@1&11pmZr15H~+Fr1#A?w`){w~)y& zDijJ~gbQ=I9KgY$GR!n)}e9 zm?1_nmSjnz#q6{+LMIBq^#W9o#OLq?LOlmX^#}(64~H9^-&T>u&&=uu~V#mv|A zfeoOL2mAn5B5-?kXp=3?!d~i=ccC!sl?gu_#}Ja78HU3*HD44F8D}1Rp@9V5|gV=KlbFh4U4tg^UGP zLJ}jL5-p$6t;5dfpa;WDR9^AN+x8G0&oP^sK`0s{X}d#tLHr<=mzR8680$YH#qkXQ literal 0 HcmV?d00001 diff --git a/hardware/pdfs/sss7modem-F_SilkS.pdf b/hardware/pdfs/sss7modem-F_SilkS.pdf new file mode 100644 index 0000000000000000000000000000000000000000..6e3f12851de15a87efff888e9951f43bf91b11c5 GIT binary patch literal 11269 zcmb_?1yq#l+9(}ENK494(oE3ZNVkB5hAdns~OJ{&jR(asZ8s{eG$%~*Kn!A|J zyO-%osy#L2id!yr&t(mJ?pM6Ujl;bPT)Z@T<^LT~_-yO0atIn;C=kD5zmjqJzBJ&< z@appL@@KsC&-mc0M%VrNap&X3xwf(Rw#e(tkHQYeyCc^p2E7c7o=1DTsdJ?%@!NAj zsk-L94oZ%BMRzLU&OV>Ty0}zL^uL%B_`CYQBk|kK5l)Ry#gj~~Zy=h_cHHDM4pQTs zf4q18g?xnXHisBp;(#2NR|rYz{=r+T64; z%Z+*txB8n5I4hf^j6TvcE zjcr<2`bL8@Cr9+1ff>=u#EpHUREbm>z6sorJ>ff-(rdkbGEPeyfOLI35SsKLRJa?P z9-N+yGZETOIyV0(uCpg^%gmfeVt?j`Tb;ybCTF+s`SAB^Q=$E}^scg+PUFSAuO-fE zsBqy8=ik3Z6ym=xO4?7T&2X-^PT8|xIT}znD|Nr*+{AvGh_>-C^UJxTA$MGhRM4eu zp%%ijl_*g^6MWSO^Irj^wj9uYG&;v)f(OEnlf@3z5H2XR>51R9#`ulJF+Lo>g4{= zBI)|7JVmNo!X(VM(pC){%y)n_`Zx%o!C(;9M#PrjXMwli4SY&T$4rK=Fm$p0s?*nD z{(AG%Bm(YuQEbr_Q0FzJ%BKl3QVy-m^DLAcFP|^9r*Vk)+|U)%L zoWbdzC@$o*!o5?*d;;dU4bb7c&th!r`9K9(JXzxK@+W3qfKmBQ@ub1sDdXX6N)yqi z0oSxb-Md%{ zfF`tb1=_^*VoG^GOgI^3Z{Q91MCd>&Jv3@`Bk4PtkMI)CC@hrP#l2S#OiHw#%3Ff!clYCG<3Lzt^rg{f(~k~ojk@F$ z+5?)F)v1y^e2p)wjQW{)Ry0~7dvuA(F@KJCS#d>Fy-9uEX~h{?l|BIA;lUA)v2+)e zeB7g(ymMcTY?`Y?p;cj`)5_?pmC?7ACzjPz85PNOe*}+$>h|pEgB{LglB2s(lU8N7 zU}a{K5j}Z7>ZzzAYjlY%T{+qqv-(vui7czLE{u$L_&PeRcukB5OL=Lg;6LU#`hrZF z7{sBfBv0nH3lu|r2#RP-B>eGt2fj5$B%ed2n6XUgj~en6!^5e@pK9{CQPpF1RXKh9 zfJxZ%6(_L(-(^fKIQHWzd8qKTxs^ zX-QF`cyqfL^LSPjF4}$S*U4Po7x=ixAn}~gKluA2uP2or<0T@PL#y`PVchY(JO;zG z2N@5;3LEE5VpC=xK_}Yvvxr_?2kLwxCaKcF3@MP}ph{kSN}rwb@hOITWC(87c^BS~ zqx?fv|9L2LyYe?0z>75!ZUs zS0q6NoS`3*W&$tvu8z#F_FkQt2cM?7USFcVYwYRut$Z}#Jy7{D5FWNeso@u zDafLWN$$98(05j@^MNo*!a8ay$!KSrDvp?;9c$RUiU?09bNc=D*~r{f*5R$-)5XDH zL6(jk0`HQ0a*eH!fE8#Q<=U|i((*9O8o%QXRa@PC4zVu`4kjs6+sp^AFLfPEX^nfG z4HJ#iHP_;bcI7Gp)dub9jcjY_BG1Nj*;U7>yegx~j9(wKKxr6_g)&@|(cW3<;R}W+ zzM8DTVH0WCbJpC9Q{UV(z%XOnuBl(Gely$8Q`p#6NxuFCz$CeQMkoH!7KR$Y5=LX^{4BYt9()s8o3v^)mT((bi$+ z#p4qi&RDW(Vuss!ih)g%9VNNr-X0me)6=!}Vv^4k&+_LajqCS0kP451hf@zWGVV1E zBo-d|r6RJ8jEjF7KiH_R9o@7kF6a4X?4S64E%7Dy1r^`R7rPwDfW?9G-EM86h_zRm z6_WgpOX=MLIov<8P13gyS?LHz@0PQ#b!22G2PSD<)=LR6gq$tb#C*wbnHi=cXe20j zJICTX?Q&ORntxJ)5u@35ibfXnb1lZ=va#R{c1_p+sj1QR+w5xFakur1*U_CUorTol z2Dp0-mQ-oE0%F!A|DKyze))@uC@c!$oT9fS)g$SZjdebxD4;!8}A%h?`204ChVzW~FUB_rN`mCrLxEu3**o4196!^H-lL%q8{TT!7O_Fe6Qh-HLQ|3j!)an%r zWtjXpM`|Nh_@q2Ps{$!|9PO6ZpSQsL$JRqb4*R*$DK0rtlDDSvF@J_vGlo6>v?9xY6x+nA zm0nV!l2fuO@rr9hT5Aj;r7?D%RdOtPe;ZLU+pFIaU;O0Va5)qu)_0@;z)0sH*?cxe%ZCb{chxW;5ym2%KkRT z?MTy+Xtc?h`k30~v%&Sy%j}FZgX|Y=K?{jc{*Foh z8t@|E+h@Zo;?rT9CAG#`n9vpHr}qSJzp1i&5~QsO%L_52I6rs~dSCH^>D{Qg>49OW z`Ug4$PgGoGR^RUFK*R}6JgHyW{9$L@%R5p%i}T$;jia*1BUDG!>!Dhke!Dp-0nFW-=dlSAlCa5PKuR*1>3Aw8mCGIEp zgo$Q^h?FXOp^Q58k#n9rAmkCbNN&iL`#L}$rXbe~a9^S32DFEpy{|M*zK4C8q!{s9 zrml@~oQ&$BQ0g0@iK`euyYU?z^v$U-v@d0tBA5~u^NK-n0EPNIJJ?*h+8(R__d?;Q zwWoq6I7)E4SuEeF{!1I~WB5Nl)z%S4-LH*$%gyl|k-POQm=ew%1wPQ!w(IHDk11l1 z7LqOpse@kT6W>()N^bDB^Tt%@s-@8u7wN1)`{Tp*=e4*wfHFD!P@Ikyv6D&X+(z`5 z=G~z={FoLN5MgpNO7fLU*_^sE7Bo|zUUe11KCSR}43;#m7)%r20WN5bd>ZAtPwsG& zwe4rLWZ5uwP-5UDVDwcCSYu109E&U*qf0*UsPGk&gvt~gP2cOj^2Fw<3#sSrpBOV6E8+Z8kzBgnfv>N)S! zGcbfhLJSg#)uZ21%N^ZH;5CF1XH*J74g5c6bI3dOjePN&^Gmj(x1xXZi~^ncnOLgB z;>nEU^T7vqx#6#Y*L;%o%);a*oZaVP8H(_|0pXR^G1koC_gxE>k>yV-xnNCr#4;nB zU@uTCNZBX0)uPajX30O~pcq0n$!S(<(Tas@FyH91NPzti7xby#{{&#A9#>DRm{01F z7#}6L{v4|N81l6kfzA;ujmt^=f}$1EM#m=8D0URh$6e50#1_4w18_O`!T2#%z}UBJgFady4V} zO}7o0hq9J8NbSQDnR4;*g+(7+Q&B80=<(j2cIq-kVkF%xcG*W^e`cz?(Kb=9KUS+3 zDt#SwX`x%%xeQ@>F<{p#MSQ-q-ryP6#Mh{VgQ-ye!-(s(p5_!&1gA7*k>jS0H3q(f zA$3+JPA@QVxH+qAshpaObEZQa>RLdOufX{z-7pVlAf#2Wt&1YWN{=e+3l&~t=O(-A zBBptVL^RjoZYidh-rQxx$nzK9Hl^SAx8QmLZ-1n;=Ke-2oTZMj5Ju=M=z|E@4UK!I zGG!Hcf{+UbO+wxu;-e&dUSAzA}l z+Bk91nE2%DeKFIEWYH$+xiOwB`ZrIWpPPWE-7F0otK8*gC?z80N8eJ%iRDIQ)_xHAq$ zyhH2p`cpCML8c;`E+{0{W1Of^lS_Q%vMkY8+O?o{VEWDRzQM-jyA)0O->=iT783lP z$EzgA!H=W8j}YBgZs>Qls@oO6H>kImhll)3rsSP`x6+CIVmNey^rPNe?|$mrS(!j6 zFN2!WI9}$-c$575`vqjIy91i3Jr|@C4};DOq|MSlmd7)8I=j7%mltu|f5WsdFM=6P zP`xJ-KAp@)p*gy9#KF+%+|Z7feoEr9|2*M!MK4p9tkhg^O7EqiM4ZRu;*}cO+|Mh= zx!%j$*#XPvm5hjiF$>yxfAA#L!rEBdWl!yuo7|%He7&zU_G3kJbV#3w-m>>3Td(3^ z;5yWdiG-5kYeo!rG&)fd280V!m?cl`Q9YS_!d$*&>0>=r6W|=?OZQ;{H2b&sA-oIV z3c_TeK;Kv2z!PYavp<#Lttb&tg=1N_U@B>H8RDAPnBB7(_ZWTl_QG73|I`2!Z zf>@jNhVm>3t01swIR=w{T2ykg8#&;eRELX~&~@T?9yW(E%}{p0XuW zw)2x`x?h9_ZY94@#EhmFAU#p0u!o5gu#fC`OGlx0yF0#%YGoe$RuDS+|7U7<=H0?!2&qKA$ z1+jC!I{>z@M=6-YHJB`-IkEbodwJdwZ>+&gpCHsD9T0nNZ^Eg5hOl~wu!VC_ly&an zrJKg}$SK9%VgFOmLo~#v32wG0U!33I1kS1!NKrdBiHuhbGLaQwhSzAIc{~ir96CLx zn4}4;BSc#gt@97p@Apz830m7$zCSxAX14hCOepGJkZP2RvXTCtO>&90!$!-ZbD>9H zG(INim}L;X(+Zn4@f&`rSM&R)#EENj)hC4pK#2q6&u4f1_H8dj6<}^WDI@r$TxHuY zGhZ*|(&-dGjox0g8&)W!7{U@gN>Hojz~s`Q+6T07chgXm0mHP3Jyf@4Z+BXTL{Xrt zv0j?}ya*9p^X;FgeBD7LNtP_$Y9I9S$ZELvThskUdE1PDlq4Nyn~{`ob`EP-@z$&A zEneBLG{aah`QdPj0!KrN@kTZd@m|>{9_wRf3HeSzA@--OX00sCr>!C?<8jg5Erg

+<+|Ja8;*NgOhG*2j|ut^&^Bcfn~XH-J>s;vJMo#r_C(SvnzGn)_RdVst7<5^&{^O?aIct~tkadE;n zsYg$W+A#AF-}fz1c%qo&vw;sTI?dNEDkJ!vjDBvc1JC1-v38r&K$az|{;;7MfuC|r z_jM~x8PXW0ZVma1mK{>o^Nk#;XXtH4T@QuHEFJeb5td`slsW`{q$eiHSbZB^Ztc1R z@Y3TfJm>B8M$b1qi(}P_%i1EnUI-)@eAEW)A#=1Np3ABhH~H@Sq0Buy?HiTYAoTPo z;fHh`!SkGfJhX$g(Zm4~P&g9)KASVU|4hmc^weO=1?2{GHCv}#9{zEE!@gGNAkjxp z`zal!I)o$(ald;M-omp|j{qlq(PLZ*i)D84Y{89*<|BdkwMvKZnAPA7HR?f)?uj?F zA{;iYUP>75{_3=2tNf_~Pe7@gF)9T(U+R>*s$c39II0v()x&IPy;JMqWLQzkG*$il zcKVEi7VXo`txA02Y_O^QJA}T%!Ecpj2M_qf_5DglFyUGR&La;%J#O4}`lk(BO9z6F0=)Ywp09*!Epgn_I%dEV88ytKphQa$AD+dR+v1QF zu>%3!2TXt;>3g#kahCb|fSgX-z_;0|y#_=_eZs0-3EO)VBzhN+Hj^F^NG!=V1WYci zLnY?jXE4gNKjx)RJ-JDEh7nf5yG{VCVlfD`j01iOm0G4T?+_7_WyKz-Y)LL%WONrpGNol}A9Sem|v>DA@;6K=SVGg6!FFLQLlOufZh?aTrP|Gi*le@*$drsjN*(WBhJ=^gA=fNHU(zmUu&C?&k9EmiZl*Hx`1P3BP{N^yh5sq^~=REZ7f$x=xaa> zUKX+w;Cy{nGH+z!&8O})@|ltHRujK~(?iLD*I7TPfcq(l`ag;z*>JvkeY;9Qnsa1> z^ZhSoa)KU3yf>Ufto=~Psc6Hr;nnCUkJTfvOl)~R8^a0QgYX6|7RAN|ek2JKpS^}l z1QEQ_Oyi~DByO4+@ra1C9+&sAqH*@w3(Nfg zTob<^UzY^7=&eQ+tJPIc-{f=1J=Pf-iv9dj?%edPgB7WHHNL!Vslk(&^aX~ucF0NR z8d4!+!stClNd{qt7lnIaS`xw|RO>s725QQ+7{+5n`Cj8CE@?y<)BP!<tpL5bY>~rYMNw&^0x{bTTa4v z2e%j@pQH}lbz=NitBVpgBAF!y2m0A17a?OY<9EFg<<=m@rNriAGxES69Uyzx$132mPc~lA55%P5!NgR(hwT ze_fN>?nI2yNi%F)nI<%a-|olEOE58`ht5>fM|V-s9Mk+)l`nDL&!sj`(UaZ@_+Ff> zy2a+EhFq_F;7tHGsd2}DFasv^CB2>B91>_Eea0>v7%8N&g_rk%A<@SJx6`U54?)>U z^U134LoCfEyUG*0wg(jtmtNy7mxM8CHEE{*K=NhK6 z8)mBwLqEHU+74owMl_6x6S%gY(W}|Gbt+1EjD>btMeV-|&BT)vRxV}<2+F&o&wt z?yr)hbX4oBvp7AkYOQr?9bt5$L%yv^Rp#=FlYw3497p`kJI6fYcNj*lPUdTM zJ{_$kxmMq5W9dmIk@)=L!Xu>(V@8MQz<0RkG*b!hsI=xBjCWVVjqI`2g0MR^!C(VO zdLXfmaTHT_V-rmEWB0D?6Vv&_YWK)AV5~%NduY1B(Ne!h|A%U$)@YuZ<` z?e&_@Z0RTWFA_~s821=-)D811=ch`jrL{y(YIjbAv~8W1v&IbmD0KvMO}pO~U+gH&(s9v+boe z`LaPc}e~c7P@M7ir$zp9fvzK7qsf@j)${dA0QWgQ8R?eto#jByWa!=U$ zxedM)e&)DsKBYdMrC^H?Z9zJef9eoIfjKvGZunFu9{Jss5!iK34y ztPz8aMIO75ENWMq0t&wcz`KAMS7qCyg+!brg~e)_>rU&#D~^IL}qw7W1Do8R*k zsMXC3#?9o#&0i5ghzQ^}OyFPh8T!6%Fp##T9So!avw>U6x%vQ2z^H8@2oxwR3N-_O zbX}3CX$_z#7=`8mb3p?6Z)Q1Aa2UeX)598uKuvjQd$?Nb!H^~()Eo#9q!05!q6igz zkoWZdp#6hF$rVKnx#6x0K+S!C_)9|s5TpjT zK>$qvH~Q-W%z!8ko;NISxcw%D{6+ekQr;42>EvqnCyU?8Yrv3}HmDsS%FKVt7XBxL ze^~(lX=!T!AyQJmC5iqEQCApnL#F#THEyi@Jp!XE0ucG3u;CN?qUao+}NcH((|-J-URH%DJV_;GWvg5{TCfb0d8vxLq!c0 zCY0L*LC$a&PlVauC~wUFt;(-d_|q|fzhoI{sQ)>|0}%iVNJ;(9F9~TMH%n_r7!qg& zvxB=xvTwg_V+X=*B-srGHNYBf@-Tb2vY!V`®|+RxEi)P`MJ3LqhEiAqZtuaBi0 zukEjKAOsMGu$E+&*Hu?yzCrL2^Ko`_M#Tr{R|_hh>D7Wz)%nr%8SC_MfkcPEq!=h5G*KpzZ8KR{YB=2;QOT$ zpS7zq2yO&|@PR>p3Q|Cs;{kU=!d+c}H-as#Ts@JJ?4F)*8!-Wxtq4TKN|2XdMAViS z095z6_no=Y%45mDQsi=r#QF2sre6is11}rev}_jD=}2**?3yRJS5rgX{!V8 z*}Ec;f5-=-+#$pc`fdJyODj}t{<9ZQ0sZX;2^5Z)1}blDeHBohLkbFp2=amjd7)5! zh@co4Dh7dYqkh3)3DEz*LuZEZsCT{_j3=cD_mC8$2-uS8GpaR1;KD`2R_f|8`Vutp8Hj&C|o_ zS3THRgJ4du8{H77z(P6b40lNH#LBK*#)HUcIFtCWQ z2)y;f}(&BN*xhlIZ>(q9mDMAu7yBadLVxV0|FKi6a=ubDQYPJ{twq) BlM(;` literal 0 HcmV?d00001 diff --git a/hardware/pdfs/sss7modem.pdf b/hardware/pdfs/sss7modem.pdf index d1f14fe43433a978acaa61090b25151eff9dbc65..4a04e737a9cf06e5f5b6b646db224cb303b974c3 100644 GIT binary patch literal 36431 zcmYhi1yCH%*EJkGc<|sB+}+*XAuMjeC5yWS55Bm&F77Tt7uT@3I|O&~=J)^VsrssV z?(K8CXQpOq`rcEgdT7+8Wmz~_c~EGAfCl&zes-E1hh|AjOu z*ko-T+^m5Ayn~sWm9&+Gv!xY$k5ycBS(Y=~f()pdtYr3o)lHuZlYE9uz z7nLMu9h<2;krN7qrrNsCazGpK?vtnYUq^9z3>Vj{boCtXX+zKM@UGP?X@jJ9vU`+l zQ_GtE`UV92eFf#c?>uh?CWFcX->l!R9{yf2l-=H5_Ih1CsJ+V28+5#9*jL})zQ$yu z>V%S`bB@Us{MwYg-zIQ$;vY)~+5IV!pnakTJ+6+5z82oL$I(X%-<7=nz0~S)X!tug zyiMMFYm%Evla+#Ra1kH)c8e7Fw0aqMqZW9-8TjC=7CP+dJ~v7x31b z_a6TmDEju?EAn<+8+f&S{P!Jn>|@TgKaSG6g6^o{YVe~X1hLGx+;Jfyf6P{d$y}7> z^A8ordv!?n3W+1rbI+2^vX~PZ;s}23u<5Nu)Y3AC^y%I2f;-C;dt%5*SYhjOj3Csf z%^1XHP#8CLSJ+riuyajPEl*0F;8f4-`R-ZB!mB*r1H(vO4y{C@=@p;7F1ShlR`+H_NYU~1KkKWq zJ37Cst>q-E3A}@}bb7H?=}Z%xY{5i;EJP4>R?HPv}B24}UkPj_^V=W@#GM5E8OkAs+5#2w~ zqmL@WB6`Exb6K2!i~mvNNWOY>uFDs0s|O40OflWb+n@+x6W@o>;U&rmX`h*UaH z$}F}6Hh0^=WXu67aJ$+yqsHTPD)nsFpn@o!blCo@>2mA3H?z9^28E#@J@2+pFFB7C zDTeU|P;XOdHwhQMTt@It$UbCL>HKT2j zX$>T6rP(j_>@X$rju^29?zNp_fvFl{L40@!Y5hmH@=}jQY-#YsW{)neL5_zWuzix7)sLk-uenxN+Vf#@4lklhC1Yb$(43$9%mUgFY}C;b<2&ZU7e z!Muj5grj^}xyL!Atd6(3tc@g{s*b^e`TIQaFNEU43*(V~jQ4B@q0JbHD}XPI zirLa!BZK-flVuSsT*i&&QlofjV<{j<~oA^IGT|r~5)vq#yFR5s8vC8by^ErDx?m*(3RH@!j9oW1=7a zd_I9@?-rg3ahjh_5HNx8L@D@E0wS#xDX4V4bj8O(>j^<;80mr{q8?ax{}N-F>R3TCy}@zL%9jzVDP2 zSRp%>5#-3de%DFcsY(*4dqprw^KLo#1R1(5s4mfQpOj?T*Xa$yzg;^L{+1oQTTh8Y zH7%<*`?8DbMqo=*pZBc`$#KMTEhZycK!>6j*3^3E_1>@Iu!%N313Nt>ZIjn6K$Ebc^@wo9ztMa%*Hb$ zy(5UPsSKp(e8khWtY7Z<;O*Kr>|M54t%)4eL`P$ZR)-8hBVj7`BAprq z02U(;y!cWZjUARY%H(UYi1P=Q&i#2&-NA1gGceq4=wSGwX79CiJJe#Ea@i~jZ(=-g z@*^ow9(NsYfy!0%Ak6*(;35wk+?*SaX)DymNj`_zhHhNxQpfq6kUM-unUj@%x@!O8 z2EAKkoy*2^Xed5w7f!1GY)A&Uk_#c4G%*+=`i;`2B1j0y#|u3BJk0o>a7EY82e=Xn zuHE^%ee}yD%VclGecGeI4HdddVdET{pP>{D(ETj`q29}9qYIK=M(`C5)h7tjEsn@p z13MhV6jy(to()$C;$)YQL&D2PMI^3M_!fFEI&|y{$Vkwg_RK8oF$MZ+ny|Y{nbc{D z;peSA#v))gHY2OVp*F$OwUjB~!H_nD<(huyS$LPwTrd@ObSUjA{9&=z9@nB*sy=u~ zL1BUPbPxr}@PN6!t%!VdrCc$2zgG*mQBwXJqAxQUYsmW zHLEz|{vL<3^Ydc4)AHCL5|ShT%C_8qGwPP?F~WyHtCm%hEDiW_jUUw%aOgT=%`l0p zcG4`nM_>BvGfERnOQF1y<9_mRcnzERFg;vm|In1K-WY$~+lCnZeaxO!M)izh;$ZS1{BwMng*gQ75EK*~LeC+5XBJi-`_5 zyNDWC*br89uq_W0`RVe{`FvA=)J z4KBbb+~h|W&Dgmsgq+RyX1iVNc#>79WBdc3B7Rm8WscTRMJN-GqN0k5)G7u-F_XYIHNCMXP-1gbc z{Jcw2xfXgpO|Tf2?>-(3kjRaix=}9_sV%fkUsHh((m(?#e)2w)vjT)H(4vu*lCcVqUxZHm2a z&yzQI&Yougv-rt*TysB+S7;OP-<`wm;mHKcev(}J`1z~&XmzJ7=y*czT+pSI$z8IF zkspo%L6c0e1)F`wR>Q1YIqb(4?mZHEqvMoLU)0qL;hHf8+{*@q#rIoEt1gPw*8^ZJ z9mi!|pMNg2kU`hIM!X>pm~_lQUHzydGIfcPb*vnVqRxeyA+vnvCN&NfzZ730VE>d5 z+>B{DUb#`t#x9OGM1gkZ@XWHC#|VmoZ_VQFJrE5H=*5yne4yMhOR*8 zD?YkQ##a2$BGV!`;VG>aDgOSqOTK7hdpKh*zSR@u2O070`;*w)w$^*7A0OJdwT<18 z+aP+lakz0YfC84ff^^1BI#yVB&Bm*)P2+Ahni5kJN@zixrptk}T1wR}WIk4%&huk7 z!J`Oe&h2yAnTuVIJeNY^wTmNOduFvrPGaKsSX*#U@tzBnS=6n*c`k@OWvb2&iF*=> zgNXYsms0s8Ryb{J7s%3CPiISPb*YUy&Q3qxXVhATLiF3c6D?F7aeMyAs6+1J!9 zsQz?P^Gi!e%NQmT8mODL@R>U^9R$DB}kk2+~>5SP_IlFYtI5lgqHGgd8hufB~(o~$&BhMVSiPs2Nr(>US0A{SOQQfPi2 zkw-;&X^34A0xH123IS#Ov?i(E;V#tr{>2K~q$idVWQ{nXDDq&@YmE3ofMP3I+cnq? zheVy6zVZ;YRO2aZURYuk&?tL{W%v`&a zvn1NUvzwDzKo}2OV|&Da0fRTjSl<6)ALJc@y_df{F_6;{il7q6{O1}4B*0XwlDAw~ zs)7pS@=%Z0O2APCoOGEU6viPcPN1EzX#4Rn-(?JQ?B55^@ZOI{w4%rHD92{>GhM1q z>g1Ia^m}RcWez$p)j3P)tk{xvU7o3v;rN0C1RK60KMxFHSMum)Mj)`2382ExPuQUE z)9piIp?3f{7|><*%<1*`=0d?N=(^eY2t?(Z1UG8`oLV6H(7^aOx0wHu94gKTmuJC6 zh+9tDreR0AE0sW-kgdZ>VN?f9-qjliOBNa82}6u0Jf%+Bi(#-ysyfK3`NrwfT#s_EbrBl$6C?W8Z@y$?U*qME76 z<7Fc2*obRWUQ^ujxAddE2uW69cW4vM_$EEVtUKy*J&sqMh5u?9K~<<%{N6@JjZ#Bs(}sYl%%zF0 z=d0(Xsnv9PXgviW(a3l{jz1Xbx2^X2yhrk}tK{$T?9jC5HxS)A!1#HsTWh;s^3Ck(}`MtEO!bn*G$&9_;$ zuH5?Dj97s+iGxDW*B+xXl!Qp%b{N{=;vj%7j~et>*dZa<6`C>?;MLEqTT*AZpr*_- z;+6a<{3D=lFsqzLvv-2GZQYa}&+gGp$lJngrkcDxgF?U0e@nqJ0Gz#3Go$kzAUb5U zdi<>x13|IPqa5H)vBDpkjjC+@xDBkfX~bu-xdY#P;J+O_Uu*5~P8 z`YWw|=9`NYROJdYMPr#ic`i6cuwT->CdoJJ>8 zJ&arrqY)b&e0SfmG6CCH11pg8Lf*|u2Lt6U4of<%;t`qXNq!<)fuo6$(0g`o{rK?U z=PHM;Xxo%nIe82_$-oT}(3kRKM6s1c2r0+e0ZYu_#3D>-Aqot~M<}Px3pYwB)#?Ow|E$3a?o3Bs zLts-Sf+Jj1UhUy$r^rMg*{kly*82939Y{Flg2jhLD8(Bv>?SrsVuc;K$n$qd@^`Xl z9_aw)l3N{aXyy|FQ>~na+^P`$S$9n_99)9+VXlv_(ok^_5G^OxxOfb&?#`1h8LP0SSG}G=hD$wum1;w{0-V5!c27TO8V?+Fc=_;wC*x5#hwHO7Z1R^iQm0V_cY3W5U3s5B z2DMoEuxABNS_(M-c)h@n8cp0Id@)n#4Pt(wwCyk^2^?ONv@KzJME+syDPt3vzSV2v z=7mlQdEo1J(aW&pf3@+wcSB!zd-8+87>Aj@BGxYpz;F{HEfnRKQ&+g?5mi(o=V-+b zY086zDfu)NZk8PPWCGh=nti18gA;uxsYF$WLnB=2^A8D4XH&Uu!Re^!4vyxYsTgyD zXb>l};e=p)g(Fs@Q!}$n)Q3Pw>OyN&~?37GWES%%(5i-9{(`<99hwoXEPY4-T zI0`?_R9ixI=ag$ijY~5S<=Y7)X6i_uvrLKK5WO> ztnvKh|L-O*vyK>lghfKyZ07sbm*{b+RS#6MZuk&wMO_VTglky^ZE6aFf! z>Hmx(pNdx2!=P8k){Q39*-IWM-JyR1(P5%b82m)rAM1mJ9j}qIqfTeGcYgItKh8Jh zAX6t{H5Y>v1ml=W5>yrReN~G$E~J%EzyS2W*%#I@qetzq0uy0TU?pu)IV?=*5ygr&mSMXudQ-`bqGSA$F{d zemp(YQ-kC!eey87;O=wk64#KkKCONJhaYc;it_E)jLn)uoDsjQjhY0=zb$yB;Hu}N zIa#O7*s~tz784vO!A;&Lg+RzSWb84L{Ach!GVM3##}tFG#2K^-wZ{Lwbk|-8$=Ws$Y>DL z)+yhYzsuwSkF8>U&!Ni>^2TtyUut29lSo08mb};-uve^fCMX@I9G2N^h)x zli-Py82ZC473(N7upQ(}(TI~O`ob+4|3MT7dC{Qk30_h*VR(6(izOoVBNsEe(X>{P zQQpvViQ3RO%ZWA5=szF(C#FLqcuZ|}Ofdx}UWIkP;)K)ECj2zTMpITsB)t3bIhCK# zS*;-I!|<0=G)k|Bn5gkAOT@E3z&=zLT1GYbjB-*Gz%U){p!hMbJ(7?Xok4k35L&g- zLS}Ts7LH%UlPW|PJr^(CuS?ZDX<1pW3+<3L@mFRWQgc8ue%hu_kMnRCK9L za?~|H^!v2wzYA)lB*55dBwgR?W6F!YuPD$Z!Rm0}7H>&XoJl93HL_@5rlaFea`}WL zG~E1WQgJG!$TPWl0p8~iDE0K~0@2a{ljgNwuq&3*${1`eI!r8GDs`=Xp)Q1Ftb$UF zN?eEeP|jLr$qi%f;0(!0U{ZEs&D9#+rfTiVvZWy0!=S&^m24cdqhGVQes@szrT-hD zvQkFys9DVb&DPd@NiuhGm&Zb-xqmhKsm0{YwcaN)INlKJTl=U(e}#~WgSBYw9YulR$8Y;I4>9{( z7UJjtErW71J^rY>W6bB;db^r_MZ!Q?l>i? zj1_0vmB0>yft2?RhKxRStj4n%`Df)iBR+N3G2Zg&`zVqU0n4?trBM$!D1J|18f%oX zD)W;{j|a2LE@1NA3}sQ)PhZnDYLgdDa`n+vdI(6rHJ0`D4-ckD*Rsj|@7+yz0{`O% z%-s{vipkq4rdl5MH@{~Nl&kLpyDKw~bLZ`BzV9YIKQS${UiY7t;oy?kV zN!_z*E`Kf^b10s>`QVHVVi1x1A{Z6izXwIc$Xf_}tlXGad~YmrkUmV8s^Dc}i$pn) zhjaY``A(6Bheb(awMXUAKmxy#h+5Dz|9QabzIAB=@S9{m5$fU{@4Hw~_ub?1CB8oeK&~a$yL0hI0{*GhkTS5F)ZoTBpjpYfIE4nGsn>J7BaJc})fs$4BFzg~ zSI<`_Z1d8Y#H(UFbkfoJ;`&8bOR~yb;FfttD8gV)rRVJ47K;ycJ*H zxkg)1s2J%hn*4VqQE6zBJE^f1Vl|nmjnXL#TbKB9gA;svO*RYOAl;k?%Yt6ZvyIg4 z-Y=oMtZH|E;A`^ZiDO+OT6rD22Ra)?Os@42o|x5C93YDth*^oJ&%a=8^{+#z-p^aF z8ytJqAuVCXy0fd@XQFCP=v~$0dFol7e;NuWrw1V|cRa@TQ#|+tT7McGW!UU1cC1z< z+3e*vWxgK$*IUK+SwU5i{$JmI?kmPDB-5d|p<+3U!<_}>m*F-SopPDbR9sG-#|K(c z+hSXl47446%{IGAb1dw2zd>lw-2X z*Jt-|h(+4%5g;${Gx$PAfL^RS@GrT^NIa?6xvqAYhGszLSG!iaF5?mBYd#&GHLjTy zYbG&(aV*&!U-yD%cbd>X%WJJOt|t_J`E1-EKaW(W3LKq9)FH4F!lYc~SO`gj(zaj< z`jN2%FlBvf$(pZYf7J2<+O4^38YeR!Jsz7Yq;RPnr_mzOSZXQ=?E=)kO%0a^!;m`C z`O>HDC46AmH$amKYg~K#Rkiv0xY&I%WPr}-yt|7rH!CLxom$Gxf!=%x!EdD?OfV_u z!e(N{{(={Oe=;WFOFF{9fVm|}BZa}3aex5?4iQwR%@Q|A0mGa1BguT)(-dmrIV$!R z=kTw+rAks8?Ba!WZuJ76t9%y$vFwXr&be0GVR*L;(=$e+a{&&ScVnn_C?%c0g_6K} zKId-QwQxa+qO_I#!5lOJg{!zcBHf65fY97yWy?i@&gQ6S1|d=Vb4j+Q83+PGDH15R z%oG}ZLVr0hu5-S4y6VvvurVpYVIG%w5?SDb;HKV9idbx}-R%0iPXFe6`03wxaHqam zO(g5T?5jfGZNJG}sl-XD_{L2XB%KAnSo?4+9qDo3jB~dP^?8bDNu&L$xio++e&{)!Bom?gCZ&o9oMG zLE)!|2Rbp8(?`g|-ag^nK#kuxO;-mGaj0Ue3$osOV=F>$K-=rS@B68mkL{(U zl&dhwEY0Chhc$H#U9N1?u$|lC36yh;tN&3txQ}huqw_)w{Q zt8QiIDMZkNZJ-MOIb_O8k1lB+jz!%LVD)i^*nD=ND0bMI!34atxqixBb(WNJt|OD% zva}kBc2^wP-S%rWZ1=V_EgUy){@+_4Ag=%5Uc7fFdMNor;A4Ycy*6(T&&>)=^TP$Y zmdu!ygJ$&eYe~5jW?xa{;NdBx$i>@5`t5l+{qMc=`+?YIm3>!H)0I7oK_eARBs8*l zNiP|lXHknjE7kbmlhUwZm@|p(Gl}#z4v7l69jl-7x&*c;eTf4zJFA~e?qT1dg0QCy zV}@qs!fQ*I%`dC6CV)rCw*fY5K!Vuj`FIk_95k7hYH68%2!FT)cR~XE&0VIt&!)wHP&irNY#Lv=ue&&d0Om`2Fi2#(;J8< zTBW_O5J5@WUifck!7?x4cD;?=InOd||6%d?qV2Y@zKO6WYwE^V&fmfKuoF=@OA`=~ zq&w(|=k@;BK_Sy_O!a!Eisa8>2EI4_O%SGC~BC~NVr>HB@d zJ{_d*sYNA=k46YJVjCX=C5#;zoykY`wI76?o^Hi2Tzw%IJ&Ioe+6=#kGiI+o;CZR&A$&`dXW}8sG9ZiSM2o%D&#?LUQZQ7*a*dRn8qi z#W+O!H5mDje$7~kA8GH~wzPn2r=n7@v;f~M8?;QMbjsjY#=b-p-5g%Gq+ZSQqd8m> z%<H(I%JL zUiROC<@F(*{!d%Qtyg20R<-eil6=SS8vu_{%N7DizVTIU1=odrfhQb1yc+Pg(SDidW`tbH zHd&bj|IRhh)qRi5vD}N_jsA=wBTt8qm#q{0Q#3of0ufGKrk&pN6|nu3$yO$4qIJG) z9~fQx;nh?P!Q^ed>4etk5G_ngOLB#^*71z-rDhG58M#t>zcURXdW2m4Z;(!JFm9wA zMzt(DA+j>lr!umyCC`qS9iPOIbVdv?I%bZh{xNvJnqafZDKf}a-DKFHAET>RgrQh0RN~8 z>W8dt5W9XVrpX5Z1jntuOh_oD9R9(C=ByOpj{Q_RO%J7j7}2Y6ZqG?#7!xn30yd)Q zzs3>)Vkg2+&$ydk70wsnu+bT8oDF|*rVgUDtEHW(*Mu1A)@`G;+vn(vp+zmoG7y3D z!Taiz56=90scj;_UvIC{(hV|>N`90Zu)};e4%}9QhFZTizoP*uTL?Dce?`tW6p}`1 zd+T^nGYGw@+CemPg^eA!^07YEN;ocbAp$V`k{b`FE`PJ{yaEv`p5EzXUxdgG>szwu z*u0&aFf(-8Nz7k9l11P6CHGC1U8nfg=ISa7$ z%X6FXdJU%TUf{AY?)Q~?_J`WWQ`mBgO7JfI2AR zfDTE5EFi|gsHTg{(sSi=DjmD_k}dE{q7ZyEGUL%`~B_)2l#L~1o| zhc2g=E7^lB5J!4u2IMpy>(_BA;!ZO;rvE zc;w;`4`Cd!$kmD(m6FiAJiZq6OdI(Pd2*BU{)BqHQ1T=?iE#P^M#R)=XGKGcJ?Bf` zK+rCrOwA=hO)g?@T`|zUvN)6AW`R;OFp31Rjphv5q!}rFu;nk-(a|s__ zumxjL5)Q6V;JbCuj-_Hvdw zQ4DwANcWS@e-a-ifFXav zP0NxPe@C_YKBsjJO}1aBa!x1a3Ax$gf-yj)VJ;l#G)VKtKu^dggoRmE?SE}XRY+CL z16$f98Cs8{(}d&RG+!S>@*O;29hn0^xw=qUK?v69^l#UIz_=GXIH0?Kh*l*_W_Ca1 z7%q6*`nnoR!8Wp}k>>2q1xucF<^$(;m~mAzD}G6LZjtYI+OmGt7oZ_^;h15)OZ~5D=lFBav!CG^)OHY^=dIjWZz;xd>vk(glg>!sR1&T%Jq(b! zwChn9l*pr&9G4jlBRUXA1uh#z=rn}lYevhqs59sxMXebOCdT2D-+J^WkB>gt@krie zCQW}%bYEJly?0HtDq|;=8eXw`xHKYIt;=e-r|S_EM5}2>rVHgx9{Ma4k5RvgGy;Hz zC=*~?r(g5+jAdH44rh^lPf+#!!Rpubh859m*|zfDI&l2wGMow#z?K?)4$;nmdev$FMd$=Jof4c3z{!Q=0zFw&&3og|5D71 z&>qR18cNYS2Dn*9W{~0fGpq6Az|2+{zs3jBOx3*)VBjg#W3`-f*(`kk+*t4hePl3@ zWi^-jS4a0*L0m50H*YoF3K4fb4`!{$^W4*MuVnT^J{ z&umXe2wLMKmxBicg;uafqgxMVH?$=EXK){T-*l;I2+>u#*Q!WwPmm3_>k#9bL7~?M znCp}yIdXXb?XIBtyC05+E7hXp9a1%T@3M!Q?Jga;8H-)RQl6tx;S!sP8)GS+KFdOT zZQnfSg!XWsNP*FJ7y|c5MxHI6#>m|^%_?7>G*^GI{v14nDVkJQ*r?*W+%>vPNEQ@o zifWuc^LYova=VpkV-W%jwYV1iL{I|?{-=1HL;dKh{wW^a{>^U<2-Z)(Nr899hZBcv zhYeFJ{}VgfTu+qf)P?d+jeK5+FIP{LoWob$EvV0d_Y1oceohMvBjT)F78qQ6q7n_5 zw5v&n>geu}JXZ&X{ICZ1QxdwOxFjM1(Knx&e*}G@tF)7q~Jw_}4%uOuB^A>GB4JntWg3`H>B)TSy!>!8OqWM9iEORlI-)t9=z$3M$-qg@)JoR={p|HRdsS8%m)Ly0wbn_{qs7-t&s+%qLvy?ILbx}aTEFoSfY>hX zb96i~{J@3Q@GqEo#5C>BIzVRmz{TBzW2UZuNaii!r}s+ssl7m({`<3>JO_iHT;Dbsw$9^oK%V; zSYfr*3d|Jrt~6D`gC>t35@t72Yzuq89puv-W+zh#EDPg~^;?yIsAo#3lT?glD{2Lq z2nZzr7@C(X55>aq+0IO&qD_A?1`kXGQnUQgwE~y9MHU=s4;(G%bP}Ygk_SgK!$sYN z_tx4=k@K$lLVJ@R*(;3;Ys!1yBmlYhtip4F&9m>@gAqdCii`;MJ#tyjOpBXk=N&qs zUwRrtUvi{YQ*>w8e}O`A@p>>7PKd9fPAY~ExoCqpHF+gjSABCyhyv-TBgY1>Ijsgx zBqvIkrcYWKIqYC)ikVT{Bkxl~^EncMsWutSGo0G}oJ2`XC2_M0oPq&bkm~F{P9&>h zO9+1&F9%XRntKzPRTCOb(@#T0)70;v1kGC>R&&$=K;f=(iJ?Gud&dpT<9n_afkD=! zRw*m3XLAW;VJHl-C@K=oalGKN042@rV58<*Qe{^1S8ctZRSF4qej>CeSEj@AhPk;X z*}DBX^fuD^X|dLLSHJ5tP0PAM620TO{@^^KUKF*u;u*h*%E6mQg^S^#>Y156>sIn~ z;Wcw&&F^{^D^Jo@@i^{hOxr6m_+d{}yn&hzp&faD>R%)LuPyDfrU(&$RN|wRCHHMgfP34+zWcDgx-``+5uA`Ykw08k0e$!MFGdCwlts{m5WPqu^ z%F$HQwW3FvmQX*J*GC0b#7iR*X-3%a_iAx7m%Kcu40X=dWm8X+T`G!9kfE0)jE@#- zvqpzLD#hq~69m=}IVzGhQ!=75u!P{TK3BILsB?#;ti?Obh(!awqW+*=U5-a8~0^rt3 z9MiaUGKD9>Jmtk3xp3D#8skBFD__OfvBiDY({iP1F>yCz78LlnO#TkBN6fHFA@)h^Tp8WgOk&NLh_SS6_9 za90tN`{aewz;<`M-*%$M)W$LKj*^Bul>4~5>0p)FYHuK!bd}7C=fPazU8yn%d`_eB zZ9Ww*Nwv%%wXbwx|ZCXBDv!Py$`MnR>9s4^?cFD4Hy7(0Lz3;`p zAJq03eq&OwQtHa|pd`Gizh_dw!)FU9h_+EJ&Q5BrgtO@_!xB|W$L*@|UU#)knS z;lmE;#sf_x$UA}x6DLubMVDRory)8_r|XuBn)B>n4?Ef|NKS}tm#slQo@JAtT(!Pj z;$$y4i8i1e_8g_j#6+r+{#o5b;6gi|k*{-%VZAE5qadERAKg~(!p)~;NbQG_JVWE- zpE!9By3Zx}J}JboG?1`+Ew^Hq zkK+|{smg5)w<-4Tgm&ZtZazxG2^D#arAL|vU3E@RA_NARqFvf1_>=GOA^beE{K;Dc zEHEYlr&)1X<-si&#pmn_j-%`FV!x z$QS3@dt~BnjMjFq!JmA0`kE&m0KmPSo!^ec66$XKH4_13qjA#_iOv`~13sYw$&iu{9>g9YB z*;uHwHZsGhIBT;R2G5aRXn-t(CNaTm`A*${tKJ)MrnYC{QY9|pP~(YWw5n=8Z&S#I z=gBH3rb?S$W-_A64==n&hhyJqsL-O0GC5ZeahE9?0|3X+9+c7@X>RN}oZM0$|W7I(V}@U|qIvD6XG z3tYZj49=<<7S=ope4`80^O^2_y%Br-!}qWxRLXo584#^4)&r{gSq+1y#$l65**L$W zlgL?|%Z$Mu-Gkco2mls!tIKH9YFI+XD2k&p*vqjJ9oS=NKBsot zQYH~%SHx;^H~3KXm+Frv_zS?12PpJCRRbU8z4T>s{{1V&o%2JfU*nSg9KI))SH8Gy(ut&noMJ6ud-DEh(e95YS4>AiyG-Cdlh1fU zN%YXj$p@8U5XnzSpHCq@K{1Gau+3(DoGQuToiBJfV4S?)9y5dwB8kKtN=t>A|^}k)EkZ+zYk^yz$cohs# z{3pY=}1rN`tOyZqZ4CXj~58wR?;(pFQC1KvF45PZ%9kFS~ zb#bvT({KD2i=z#NmBOfulDz9o3zORTSN-dIbGF0rc!#f~>J`nCgqiXcjyml&LQ5o> zwzwK%Ao6>7DTFFUO{mBCUH<(6dw450{zkCNYSG(M0OLg`uW_#P>z~%s3*M-JjUVZ+ zwbS^?Jp^V|&=q>ZF{8(p;b}u)s1cQ={o*yNG{-pX&F^1tSa@ra5}L!w@t+9h5+fX1 zW*(@~R<5h5-c;RY9z!?}mrb`HB@t*`r6aCG9S^9Cg!UF6rG&77`vGB<;9dyR2TGRR z8uIZLIJYOie$stpP{)M%mM3u zq{RGb;xs6@Q@0Vp1z?7e(C@L@(h`Q@hdlc?7U4*l$m~i*tHsq&_&RW-ibahQmt{HF zpLHp`OIlsehiBsdrn?TBeS zR9IvPfnjin1P<_3qA$1&)rIi2w`z9+2g*1(0fNrbh5G>wLfU8E5J>9_I;=P0rv;s>o*5p;#QPXs zl$S;-K5ceCf2a(T{H8`>K$66W*QxoL)hV0t=AwR^ZvGs5@ntm;;0GSP8O~^zudLh$k`mtdd$@S}67O-17UaZIX2^b*f?M2oGO8@wy*2ZnDUN5>UnSW9SVJ zVXZ!Bk~vxsKbTM*1;SueVC@%j-5kcFfg!PUK_v49CY4C9OO+3I4k!84ZRZf8MN$8#&w4*A-zv&E8A%GV$vBXH3=Hx;XU|7W!q-ntB9$4Iao1en)LaTP zTu{vnh1M10smm!QcJ!>sjR7nJj}#(%pYOz88}6@9g?M5v#aN9lYRMbmD=|;$iSX^m zSTaI9zp`af2sdAq`t5%CsHybWg1tBI6V)U&rRrHgBbNwTZ-v_m1QIpvvw*Wcl>+5tBGW-cnhgu~vc!IRL|j?3#4T_j3uW!O>kZ~)a|U~P0m^absK+)YvaBcI zXJ-OXy6a49oqW5?^M73J?OcXHa@+e1PxELFWsp(KX@c#o#D50O7G1(M=q z>-MP-sL1VOjimj`h-N4?3mka zTf*76LbRYZ!)nnyY^Hn$4seVR=wg7|R4)$(t#Uf*Gfv;~6fY)e)wEsOffAmueQ}u7J1kj#?;O!RNBhnKv=*)y^6;8WBwm_l zHaLUo8q~ED=M>#kn9mpMXv?(>mrC{#tu$~_%~i^E&{Zo>M5hYqrQSoTr%*~34>K8m z8vyGX%6E!Mc#0=}OB{=`KqxIH^%SYLc9IYtDxL)@B_cu$rKz7=oXs&s+4S~PLh0lh zO!D!KBdTS{DCf^d8Zz~nYE66qk2QkRmzYU!u=k|Sc-p!DGJA4fY04iXnA}>!`F->m zAA?`X1yuHpC3ePswq#-xRK2~2WhTyh|`^HE6RTWy55KZZ$vKVw?^;0OVGjGKLj zWRA61StwZr5sg_g!!Z-CA~HOOSUp*e(!V`5sNusBvlh`~d>4p{VuG<(UIq3B3n$h- zilLv0uBm(+dgVd?<$XbRxrpm}cN^m?@Utn@#;;1h9?wKVB{BOU6BEpu=j_0E?6M5$ z?aB2`SxRz5&(Uahzjjkyq1->Ms?kQYj{%a-H`Pa(JU(!!i$YZ`Zu%q_bnVMczX!^| zl&Sa8;JTp5 zRZny1b04W!3*)%b7g>$hvIm-y3KX4>YVDTZ+sx~qE1#y{jh?CgI51QBanE-afG@(( zmag?3kg*sZauzcE1z&d+hRoub4nfUZe6pEU82X;?oG8|^|0!x$0YL>`96%nSR9Lw+ zl}V*51ol*okrBxL6xW&eb9u=;rXhzdOb($6JE4Qhr9bBwwnq8`97-Tjn<1(=t**p% z$EVV#h)<=Rdn;;Mbk03d46gMKYx1+R*qur;Vk;5M%{tVbW!74;joO73)r`l&NRE?9 z!%Km0=ySHM#m32jdqN?&B!wOrJ9%*y$cLepaJo~a);#E&C@Qou+Vj2PoO1!KZ;sS}>X~8{XX?-t%6(hc|HY zK3Rm7o!wI@=&>a+wGc5VdryCI?Q;6{Dy^M?Db6jxm0;{QAN_`-0w9K*r zwa(jM*xek}{3iP=Y@ICJeTX+(g%XXk?OW(-3*QnE!IeVqQC%a!S9^wknAA#N#|*X{ zqE@%}ZpD(bS(5AcTARx69EZ@7B1#TdcY{byaw~#cc*Qbcg1SpQ=zt{^Zw0H3|6CxQ z)P~hCN2TQLZcm76DZt$N;b0#`hp1X`4BujI0Yuh9XLZ#M!p=9L3RkSbxPKQ%D%%ex z0{fL}<6evg?l4LmRVb+;qK>Yn`A3S=l5n2{D~d8~y3q#*vFTCEdV;#)9rr&tx+eTY z4uEPO*sXru46VRa`6L>){YnyWMj1BCc7NS^z1k31^X!s4T`+Gsd?eIf9+{fBWELN} ziJP=pr(eoP%ZJ6Fx;1U>HMwwg`5j2?xkl>yZr1tKprsSIH=fX2hd5fb`@?jSgd{ot zL}+o1JNBu#V^0Z*07+d8NnIrKn4Cn6oW$ghx)gh|AtRwZa{nsJ#&Y-xaV;arH~?5} zfkP7g`67h`#q-Fd?VTVFbxVCF!uC9;<0Xb`#G>7>e1#8wYMG5|gY!(fTI* z_mM#f)AYTOxr1)9iVbE`SK2=M*Nci&ua;=lglzkYBJ^bxehRIHWjpIG<&Hl(P`(yy zJ2)@^f}jfc$)5n`4TsbH&zU8{W9GS-Y;Ba%YU0~-=}E@9Pqp)NvTMVNGqB6iTjnRc zHZff(Y*FZpBzV?jic`-ugnoft9GpmDZ795Dy9%8 zLOS5<_g@Ps_fYUSKXO(*qb7Vx^4sn<|GJs{BO}fh&Y6KOa*w!{9#3~a8JFr}>XN%3 zON+4o!c5ZnsSJ!Q<)>87#E@rT9pc29n}91ujfI5A7L4iOib0L#@Hvt$IDf4sLXsls zTPfGNLnJ31Ur0^wSWo?b^ zl)31@PvEh=r%JgUpeqV^tW|lbu<)}l<@VGhwz4$<(}J(Wrd7KrwXJcSFZ z%@Qpdf6rfZYDE@V9BNeMhjIg#8xBg1gT7nlV?=6A&N-CCJf^1|%dp!hNIJg0rZITLXOt%PE`@Z50_XX+psP06T0mD$k|g z1{oHdSeDJqmgd3s_SnS|!S+(6y_`Er74%RMj<*IG-WKHky__PX+uwu6mrYP=L+~Zo1DPBPmyTlW80}mZ9RIV;RaRdVTj5e*ovxj-Kp0 z{DD$JwwXLjv~{NO=9Dkc`fm6`V$g!^wSux>khdbhWZ}J>(~`Gja`*!lkTE8?cOG~H zlFcT)-$cixaG|%k&LkJ>&CzwwBuD7(J)BwCk>`p%@JA=V3)HGlS#zekLS zTa~%v>WEwWkVRI%`0THP1*8xq30^2v)oj;bDH=(LIUx(@Ck#X>5fmDW%!s!ZbTf)SC|R`ee*K7lICx1P`md-L|Ms@{8t_g(()s1z^(8S7!|;pkzkQ9Da~Hi~Qkiu7xX z_GIbUv*6*kV>vKl4LK)5gtL|}t(>{IhnoR^A9+bQdKo&oU?V3<0yF}w?1dewOVk_$ z!qFcJ8RV$u^_8``6^8$r#WD$#L-+le$`ZkiC2E#GMH>vM!@2s4Fr&jd=+zQyt2xz% z4~Qcy3%xQ&ewC9>Sz7K(w|ORx}rClvdOBcKXf z*jLc?e&1dzw8fu29s6J#X?bh%m9k9r>ZYWz$`)<5?1|5OdR00-TU2G~0GJ2ik=JqQ zDQQ%Wuw+xshsa^i(tuOy(8U@unaWNA6s;*EEO`u6mf-WX5(gYZ6hS+B+=E4qmPM-8 zw)DQ>SzM34-CLPTp`xtZDvVJ-rWZeWe3i+x{S*+Q7PUtc|Qh+HRei+PPkKEU(<*w6xu`ot}<2j`I7r zrE~m40?l-H=5&A?$Q>8Z(f|7f0;2MNBXt z;r&sHDaVMPzJzT8a|t_xwE^;&Lv#soA#zvZh(=1UMoOE)Uqx~Ne&?0pDJ@X%9)3>1 z)syciJIMHI#a+hcZ^pS6<>&Rp_)09u(#2hDrum$&`UkAiZs3>JUk}A3q4SXr6x+=0 zsR;p*B~XM<>5>0KVWsa)DhsA6l#hSz(?_1V8vSQwkSQ0{FadQ#4RtcU!{h)3=5rW+Q%Uf2O7N5^!D`*K0AmkVzgRR95sZjB zPBI@szi&InY0-!u`;ty)Gs!fBNU!nA3Z48K&FF-*U!TO(4NidFVC5u#g*oL{ zjQ?1@?hw?(6BU=zJwT1SA&)^3JeVoxFL$C>b1w$^M&5q%&G*NeDlL1%if?5O9*VeI|JQmC<5sS@RUwaR3Sr6@m$&|Bas z0(b3Wq;0?ehwxTY9-8@=7?J;8%s=SJ$-4pBf0tW%+46)iH{%WF&%n)lylkyK9kO}aUGkIn zr{lTA#09S^)w*ankgVvmG%@Yu{yx6{%rEHYVEc;`K;c{bI~GHA3FQ%fW^WOc7HlK4 z^_(7ThLfT<2AzpSgc-z_{LAQPEM5v4QIRZu2C9mAoHjjtM^r*}-?> zEo*t~$$_puqyT?e_sj7iqd;D@L6Ii!$}uDbv0<^nK;X~4UrA}E292fGI2fMtv}=b- zo?HY8G0gJYUm@DaKh;E~97gPb8m%SegV8>puJbBA>o_PqSGrN9swk9s$yl?df6eB6B_ z9gg)vBVCp|wF_~AVk2HqD)@8P)aO`VINr65J7Pw`7Ytob%y(7ZB3B;eD9ixQ>^SRI#iBy_}ioY^%-Eq zkL`^~jrOr=`dlG}MVcwUfaM*tSU^pgx^sN!89Y9EOGFSC;yFa@K-;{4tz@3vSXaAr z7D!v%5ObzQ<@Yc%r2S@eO&2P~vR%gET@~N`Fn{rO`Y2Re6|qBH*r@i2N+E21j#)*( z`d-wSU*^7KUJYp$sI8@!)8T0?{iVvfRP6=ksYqkkt4w3~2?R}RplSW!VF>+Bg2P^$ zy}>gdT+*l`9GAh8knDOx@$yO4s(OsOX)bTcg!cB@>{XU-x=)#gwp?}VJVivq4sW@q zNDmZjdiN;-8#q#`atjHlY0OaU5cSTg>pZoEH?roE^}6WQ&>lbfiM-zcg+)&PUZ-Q$ z=^8w(p}fw_RkGMXJQJKj(GGTl3Q!BtKQMGvyex&v+NlvHJS_ez=h~a<+uU5*I+uP0 zh@H(>8XE}IOv#3}q5&}z4JvWf;g`k|)d~=O01~wP_c}b(4i#vfpcedXt`pVcZ)Nm5 zAB|2Ba{soyVTRW=q9Ic0Z>7-g+SW~Hno~m>`^%WL`xj_biH9??u1R=QfilwVbfz%P zVQQlM%{w>iSJ200E(?5t-m>E@1i{E!=rr|j8+Qm}eZ|jkfO{%FG)>+$VqG01oTo_L zM0%(?w;!flZLveAJZ(RoF6G{hBJ9d{pP~ZXw5L#uu1uS;dTh#9nwK% zzRY3dh@4GLA2=M76?NW2mL_8$t)>YmQl+#pY?i;R>R6a8=K*{x|5B&*jkdO8vcWb5 z<{P(bIRhoB)0yefL8BO)?GEe8)&3?Z0_7XGdsDDkfX!;?fd&FWN3U3MLia3QTf@8C zhM!a;K)%*Tr0%tJ_@r__Lf>@$4~AFwYF3o_IQ@c6XMLNuporO7&rXhW&Yqv0YpiN} zXQke`e9OAYa)V3xt3~R- zEh>!yeCH}Y$A}RvI!Vjw&)ATz@JF5}$Lkg$%-w4v+&lkb76K|qXFZ*Y4!GJv=*+u> zK^+$usYB16Z;?$9pY(pHdFS0GZJWM0FQhj!zyD=rTAj?!`*+8~>OJ_~*v`=8w$@UW zW#iG}I)UzhJsZ3inkRZB3~8L zkyvZuP-Pk3r?Fc{T-nSob}GFCD!qMjf$zh@JJ<4m z|IUr*Ts`qPQA~TpirPFfsA_pZt9tbE>6hiCscD7jNZvu<<5^ucyfTU8xgrOMdF%_! zXTZ|$FCa-$GKJ(AafB9LHlsQnvzS0pp~)O%I=}91Zczr5TchIF1}MV4f*pKv(aIn$ zZ#TI2Spu8+g|bg^7C$||2-)+M04`~x>6>mAq@`*f=Mea=c@oLMYgx2U6%&bd#SaxV zB-F>9^^_%b#8OTZtkQ5vq5BBDslKUlE{e# z0a8lBSk)DBuSDcjh{lbV>J3d#_Or}f) ziZZ<^-J6V&KDYyj9R{QN68YId-+J$(NHd_xkUW^lRFF%6;Q7hPm%4VYE!;{#fiWv> zLNFpYONtE{gL884F>azyl(*k*p@7HW7I=G$7;E#K5WVHC`)^xv#H@#O-cWYS36#ML zN>@VTjHk4rewEYcttNq>P9Kijk$ya9Q5?lniBv9G+xiW4x!{#LmYep~$y*o#`T_t! z%RVgDm{3-H-EwLEf2ars2{Exf(^vadPbZX(i}@+5+Vo~>*(|z->&ev*Ou6=ZwWVx4 zr)yjZdF@!VrMe#L+5$O_6`SdwvMj%lmDR-ZCdTRnknhrY*soD|#Bme|a1(VI#>i6- zC0qUaWyW}c?ETf_^fv-KZWs+I{T(%c=PRvS(Wv7)ET0cEj)Q96VglS{#!<~wnYFIl zV7%RG&!G4=;`j(^9n0NK-0M&XVOvOM$S=8exZaa`+j}0piy*|k;k`m$aenC?FqIYo zl`O)BA+8j1QOEXa63M5M{LGTP%#xf;s@%-?shKI@lkZ(il-P}JWlCUeB8z@4Db_4dW0$0j*Vc(Y3#(V}oIDe@{#q8t{{D|lIw0YeH9P>* zlV~uocIF2SZ=DY zKmV_LF{`W6ryRc-x*^3LLqc0^+mPN{b68ToBLMsDkhY_1}yD0Hu&iy$IE#AcHC8P`4GQmLNPe`RU=-ScBL@U$CWY z`s^SPk?^7BOek5Th2z9$`zo54K zQbkCMGJP;-&KjTRC^?>p1(r2d>9QI!V_N%0e{YI}>2bZ;OoB}(jZ<4Y@l`Fk@kBfK zCLeN7&rx+*@$_+IOHYg0<9ZRR61O&X=hp3#+rlgivV9Ath|caVw5GMU)pcz@e~@0f z$OP753b@mp;hbUZerl`BTe6{3)rIRUOUsXr+MXIuEHH~V<2K2D(dVd%MfBJisDXYQ z&-=KZupE*3*F{2!74>kV3cFCF&fGb1f>#Q3j0IneT_YZ=gN3>-HyE`Ke-)Fz%e;;Q z%(mw;6+09U zq6>(_UQ7c)-35rwJ+@s_qB_!Nd(-6X-1`mom$jZ5zfkbtc8aBqU+<*4vQqsqXVW6$ z2=ET^7FTwww$$(NGDURS>>h}DnkjCb_?hKUk^DPJJCwVoOL=FgP8&jX>Ugpdyj`5e z89&)rnmhD;_zm<^UHTr!*dSXF2>s+9f|$77AspRFMCQ>kHO!YkG%Eq=yEhL87+y_9 zu+Dw|9<-fTg2=Xpl5D1Pp*Cj&Q})r>q~^_CBs9F66wdXhZ76cRkdy2lRnJjWtjunws>jwvM2{gZvp zuX|UdADqtDhNXdAY)H&EA(d5z7fgq?KC{M>?yMd;yf26HXPIC0%Jx;}ha}*x%HOnV z2?&fsP9iG5hSy&$sm4r84IaQQ64Z#5PgA9pMfXsFNp9n$a$!cv6NTaSq-g@j*BDAM z94I?_vWXY>npm%y01t5!J(cThFld_NjxVlQx5iS#2WN5$ zi*Ib(9h!^Lu%E}k2YZ|Gi}t}eB$`KA1g;-(eKkqfq)p8ty^xnl%q8aPkcPBw?``Ja zZBYZaDFx(?nnh4|p0053cjy(+duCtC^MzzTsy{LYq@h;c;3@shPq@<2Z&`BPK7r=>OBK^s=K zFMdG>T=qa`%oU+m1eQY1cB;f)&sG|_G(%9|&v5yOLJOi&V-!8LA~b17ngsXhK%MQIf-_scx*NHS|%v{y+xj zB86In@WLT!=bQ2rZzKM{jJw+?GVrw;GUIHNnbT`KhK8G3XNoiM$qPo&TegF^J`SHK zq|GcbOB0@08sZ4i8c>hZ1&2qXCv~-pn|Wl^gMN={gqyO%r!cqubLuhTP^)|5jY>kE z9PhZne1-qs0D(JBG8B?mXt8iuio;?=pXMHj6*h^Yqj#>jk(=3`qgheFQAnzr7CG3} z_Q%?`ohC%OruZHmaNc<0H0#u{ZaoiN)SZ|o`%Ky~S9;m_WH=u?`{NyXu)?^>u*=JP zssr#*~xF}L#3qB9A(UE(H}$Ku4I z#u*Wk0?k2Hk5&Z3lTY=#SWw2Dd}tG)uBgX=(vUxpv@%_7WzZAcgKSO0y$UpHL!%)y zTEx!AXbe;JD-DQV+2$f?o)S~KopAci;(1sL?WP8T6|)&*yrKG@Bdzi5KA>_)19cw+ z!_fLu4SS$Qx^RUo$y^cYKj^OSyUPDv*|h9`A^Kl<)Bk57|4aG5WdAql{}B6s$KN2I zgIl@dRQ0b`|LKEamP*JWu!sbEIti+)gugL~{CCn}NTfm8yO64+~A z>rviJ;Dw{1?)8PI^yVwwIC##@>QesordRZe5YuNRJooWJH%TT|BeSMOK3 z&Q*>S-j|mbTjgfSuil)ono!4NB|Bn+7q_n$dzA7+`-%u7w0vC#QJO_@C+FdryYW_V zmR7vd1Kmhxj*aulbm#knMx9Z`$>5qWRka_x9K!>^VH8%=={>0Xd=~o+(12Im2}y9K z9d17|AyD`dLiok&JMD*_@Aj3S*-|;SYM8AxMs8jCxmF}%g;2b1btrBGxFyk8r*mWurY52fR?DJSz*oCIr7w=lV+R`=dSV87;cW-v4=_ZhA2D zxlwAmDL9=Nw-{~~P%M*=kZyXRN^)(UT23%yoEYCJzjfy&KBPh_x(dE#E!2Zm9&V16 zpy+Y=vH_N_E_aU7(^r3eC{UjtcWEdzSJyTLmUKdD%VqO)8h*7b&6);}T74k~DbGEJ z(g}32^stEK)L*wd)?BwsSJ&8yw!okj)i=JwTH(_~`vGWGY&9L|Z(xVlUDN4`_8xpe zuzHC1=g(7TOwYWTPg=GNU!9{~?6J%XRR}nC%IoSgF2+6JqZJ@WNO*E)%oxS*`#?!f z@|I=zx|W%AlpU$WilIp?IbiA<-cgL8%Xr*vZ9k5w^(I4+aso#XI9^>tX>crw?Rmj; zLl(gYZzSKd5dVLW-L4y0?YJ-F_uQG>%?wB$w}R*#QR8`gxZL(XcF7PK1n?_?41aT( zwbuAv`(}aArOSPWx<`cJFi~B8jExH*AUAEy))|@w{b@9)@mGvG{*|E&G2q@~rjTZu znbV(|NsqWiFJCNbfzD_Cs$oC^8PkUR$bBg`S)DiK zjORPiue8rEtVt(B!INA!_}He)b!qcKdq;F;#w2`X)8|gcb4K6BnDwj|ZP-H;jHH$b z92gqQH_>WkVLU3HzrRz#*l^`;O*eVpGS^tXUP36NiRW08DVY3*Cx=H3FLllt)OvId z>7tuKW}NlPRka-Cij=!%EP6ZqBdTH7CiM=Z{*Z>g0w%^0jM1)E^L2hY2x;Q&&*-z( z3>Xt{n3Hu2-_Iv6v-h2M`gYVVXj4m7?75Ag$fb@$!E46%0aeJuPE5)9HSe!zCB(D+ zO{0d5hry}gy86{*`@}k6q!v@L11&tPs#wW$$f-+B>ZDk3)AZo79CyC^nkdeLjjD3} zcK(^64?+h%+OI-_%z;m+mLH{N2m$RTyX$H!2MB+tg64cOh94%%azO~~K@gg9>$J?R z?`>>H{pQniH1pMe?%)dNdz#(oW!^dYJMFIwe+)S)k7LKegJ*c#XwK{~76EQxu;L)@ z25N8a&xg#}6f-Q#b)0k5GrxBF|CUJS${A0He~brNw^P1xN7~zlOiTu`IF>`rFN;!@ z)8L^p|DQuJ zc2)p(7H{7<+5ctOCJCba&w%oc7ykbs+?)PSw_g>EtqbLJ57~I07g%QQi9E(-JBO{4 zR?^`r^16Kidv$m|@*(4MXhXb$U!3)oV1KiFKAwF}#dkrs?5@ddnQBAv!V5-3&i@Q| zKH`e(nqHWhnGhpC!^3zh8=gAvNP(#@J8c2@qa3Gcnle{bdkuSQAci8(hE%5Xw z`{g`ZzIC`>Ug5;+dKAH1=i?%_$M5dY_vVK`gi~D-BSz$$qG)i;%$*ABVqFqNOLa`_ zr;4=yRsBd0>3)Zt9v(wuqhr8`d<9{@ns5o68mh>=R^fur>@QCk1m~jqiDLknL=3sN zGGSoLx^bN3`G!6it4Du(P7lB=sR7!UKgq#ZK`$ZS^+$J#+0g5SZ8v@hYYxZMvnRnj zm$PtoU{KRbCKlpG$&j|ii-PgMOQWCpbX#|CD_){1c-_<{B)!*>>K2vKvXXWCi-ZR* zi>v!=t{o0Jb`9hn?W}>%&wnm)SAnGChNTC?P722fApR8EHh-c!yPg0 zcK4gbD$nOJPZk1JXt^g=a)SA)FBF%%W>C?;V%cL!zf67`so~K;Usk>ZDZT2Squd3DC48ps_$E@kH_}mxCEal2uQ&jip zJtt=JiJ{5)zy(L{*VsL$uNN6|-?Nl$j=8Lz=nL3)W_LJ}ft*(GXaxusyHLEUgzb9- zo$1ZAvWOj8Y7^wN*63NXoS}rGbn6D8bn8+8%%3jH>rXJB9O)ZI3u4u zjrgZiIdQ7}(x91QcO!&Sjz+3kJZ-=Xo;F$zj;E0)gENi`$@sP1N|t;PEQ>e4 z{fZSom!jbeOyTTgi&GjSfk6$DRQf@NGyz>M>;Z!4a5tbrjKN@DUeR>S)C9DbgIg%_ zF7NtB6JxVRc|A7%X!ncs2>W5{GA*Biq@tUjB={iKAWjyLOw-poy%g1@Rlr z`F7gu*s->YMF=!AG}fji(NQj1x;nd=w>lg5W^6%x^|BQ@V59hJCyxFy;NV=FACo@H z*bvf@q|N67jfmVO-xv}tni=o)@L%AbQgqZtw^QY^Jq~*6x`rN;R@or+L3vvl{Jo8{ zL4UsBJq{u)s#_hCGBT7fJ`PHINE+yJR4wc|i%*H)xUcx~2!q~fT|T6z2fCSE*n4_?;X{IjSEW+RQS34F)MQEr#d-?eZUw>Z`aQhT8IM^|;x%wo2lBr}tzO`fCs zKYit;@Jx~y#gw|Te1fTc%#+2P&WYcdjsQb+lJ5r&a82QVBNkDNqJB!4pK9+HMbEA( zKEVDm8g+p*gYW#DiGw3+3`uh)arY1mRX}m(Oc|*>5$i|7+Lp(*EZ;a*={<#204}V& zvCMq8rY)GrjO<^r1Gen#8s=eIr0N&KF$9#;FT7z)gDOE%96@b+twqbX@9lnA(NduMTy(72GaIkB%f&qK%bxg_LiKd%+b3)T&t?s z*Iv$uY3-W^%(8-)rR%JH1tI&FFJ*u1#`eexLXE?fWcN1>^#;fn)bX}HQYnm!t=ZiT zd-}lKlTe(ex?Gc4a6*;__D<~Igitpx6`|CiR4kNck>0q%Z%p5%OUfDTE5?pT>CD! z)K?Lo!CgVK{7`4W5X!IRd`@J|Z1^6YRZ9oC9SKk~n$Q-fT1eEW5;9-$T}T>fEkwlj z+Zu0Ob$i^q!(3!I$I?LZ8T|&Gm+#siHLYh*)$rH?X2mk~8whp;LlIQFKeXjjymi3^ zC(qvKJtQ9(HxNV;8@6CxamN5`%_r3&dR>SRhk7iW#&eL~wT65x6Mx?Q&ucOobmE4?@YW zh&$qEU8L$DcR|(D42)l!4Ax=FBXN5yJvH%E9!(lLW-1z!Tlqteiy9J^RDje?O>;^% z;h@50x%5iBq3(pQqlJ`7lm1sPNac}VSSxNO%}ACXa2-ah5}>wQ0(sXwoA(6e&rAlq zD{5W}*A^0Ia}^5CSf`G*s`DGV$~c}%C7c}k+4Y;tKV(0B*@GQj_&Y}7RspRql@wy3 ztp}tRC{E6Om#)ru31ckKO(Jy;;h`N~UUmuD;62FB;zQ-kr7wK2#0k-;5vj;z=pAm${SGANMgrQD&fQ$?f^rZLJ)Du|s z>|?7%kkzbt^R=&@2uH4vef{B?)bQC=a_qR_gpTt9g}M$-_<(r$BicvQ_)_XUR0(42$KyXhXt0 zY&{|E^+ws!#uSGrVKJ+exFvun<~7RA$7>OYyjZ2bK8oNBV@6np2Y1Q3Y`35rn9h3X z2mfJM26mhmiNh`m&0Riv@EM5&#?0q*t|H!#u@=tHv(tM2d>z#LDm_rBrY)B}s z(@ZzDofd*c{@WL>@ca5w2cx1i(x}XKa*GIOs?B~dTCm7nfqsq{oxb)+yWJ-Kh#92w z`f+}a3>^w>-37TF9A9CA&$a=_c$F9p(3Vr@;xt!GemrDFxQ@W}nDY0l3)IvZsTYGq zHVAdY;<35* z&z~BJKKNcY6nz<-cPnW;)?44@%dJ?76`9QTLJ*TF;-d1qANb6WIHvsiVlh(3y#I48 zHcR07+;Qg7^?m_O!0BYrnL#yhwWV=C`{mEj7^5a*HdXTtt)407{!!p}MHUs6PQJc4 z=X#6<7jbrm%v!cx!~7X?6$y#A7Fq{+?Rhxq;elm?O^kKRZz+s@ucMC-05HoTNX8`k_hBCRWHR~-wX&%=Pj8|^cF=KPQ>y=%O(Kn3U2~Wns%!Bjdfn03Xd63_}466ZyR-AJ`h|Fkw<=@q#b?8%x*p% z*SI=l7SL1-bDjy@_X>(!P&&qZvj?Uj9l^Dia>UID=Nmsp3zHVW)^zko(@N%PR9EN8 z`nXXNpsGb^4JtW4>yWyv9q59Qs+St^4pP;cJMliB>AIysGARmwjk;PcXWftFB8`?$ zV{yp7RkOI~E3fCJ0f8?{p!E4*nv?JK3?upP6KIqPBU|w6rP|VLs&d)Ed}pHWpbqxr zEq(YPDZ}Bk6zM`$EnUVlh!(9LBTD7L$fRyzDu1Wc5@eB)76eW?V=etqUN6aQ-gxj} zBHcqpBvbl1!a%)aarmra=VJ+z$;~W2&@$mUo&v&qL8|`-T9=#YQE9+}W1+5*z`b0wGHea( ziAWa4qLHykCpAk{5k^XA^HlE_F6S5VoHd*2JY0e}8^{rzL|i82Pi1lAYEFMd06ieP zlEW%}@T;dI*ZRCkqFV8hBkemp{O^Lq75kaU@u1;!2X7iY?CDcZcKD;-(yPb;Vktc4 z%W><$MwcrgL*Q?DY_dj|b}oq*wl1?1x0`obJg4I(K=C6FM!&iFs8#wd{lvZAlkd(b zd4`lIg4$Q18p4s(Y#ao=V{`ed4+vk1jx~&im(zH5g5g3zoVLhul;R{cyS>CU%6%f3G1GG7v>}?Nv(d9W+nFXPFwC@JOc} z8(Ylr=0$MEk@DVP&>^(wlcg)i_8D!LGAOSps9 zn8umBgdTu#bpT<3EBIK1U>qD%DSFn%vm8&$TMNj40PO8syce%@Q%tb2jWOOpLKDgU zHq_vWN4BFApK%T=X=CqChF}|meUi3qEFZ!>#tD*TscjUz!U!gRHi%m)p+-w zGc>cu*OZv0j_v`B=K2ThPM1_7a6tDfT144(ZyjlTexpU1;W4REPep|eNmUOSqT@L5 zejAdMe+zvcygNgj=ELz!JCZ3E8Q>z`JwxRzX}g3Jv@|~iTMW&}&Y%bDF^DmU-WCj; zVa^{`ZcR|=>iOr2cBt+q<;qZ^I2NI0DrFAK(Am*{-L}Iiwa^zk0qJWoV;E-nJq6&< z^yD-`aX9wX*XXqe3`Utf68>!U$KD<%mlb0l%)Fo)?RT|S5!UA6X3O!X%Dw*l4x#wF z%QtdZ%!p}+Z-FofOM*T#{q1H9yLG?bwepLWx7YQ8M9e1AkT)|4PIL5)sPgOTwy0>U z1;yS(<+};~j0_h2{V{gR5AOhghDR$-t05%zt3{AS!aTK*kyV7i{Fg_h4U*!L83?~$ zU|!JPxA}ys^I7(>*IN9~{Mj)7XxB|JFk;iFZrW`QyI&l~X@jcs@`>y4q^QtmE=#2Y zryn{E5LM#$-;&l$0)t-mTUpaqR{`UmiEK6?L;Y^)XTPN z2?8qzk{|x(JG(`Jvm2#!7*ms1vxuobO*WQ8= z8A51fXE3IV&H<(f8;8hQOqj_Dnm@CYw82Q^>?6`gDlKBAj@GVi%T7HG3l^i<4bv%S zC#-X>Tn1dnth>RP1NbhujtdqAob_^H&H9s5yT%&>TMOTgBLE|pOXv?Vqy?GWYk0l~ zrIb*=RaCfNy`B;)NNo~nw7Lxld_SBP^1pZZ>V`jTw!@$?>Go&p_ZtbRnzQx7FhH1Y zX>&nJ*Sb=Kd`mXsb_W)^>q|xGqwX1KBHnNK)G^A1Q{0U2ifc59YjnJP!ayfWWS>$X zz{la^^ET?kP&VqrJ$VO57w~4#;ARk|P8_*T9RA;7u`c+U#WNUluA%vZs%LAh&s94_ zxN#7`63&^-=9$1$Czz+~aV0s=?apM zk0OZ;NB*A)w1^K%xZYJfaj_PiE{T1u6Ml>l$D}0DBLOW7B#Zc;08*%c{{{`E0gL=o z1GKKKkCNEp|FHYV8C-(*`=@HqEu2#`p>7^6)OEBduI!Z)ZLCQOE*O*(Kc$zcS{PUKE6OB6shs=2V7)hMW*xgxV*QAN<~>OK8|L)<>K4ZvmeRNgVMy?92x z+8IFOB#DFWD~hf`qqXDnbudGe{VP&0yEWBKozGoQSJTtYOB(<^)VdZhi7V?t#>ZCi ztnbP{JdGf7HAGzuOVoJ%{c-{4pR)7>`-ZcuHKAZ}%DC^jUUnS&t%#fQ+x%%y3;oC;Yjc_4T;r+#Up-hqj zg&wKvjqWroh|YUW#y#q7tHUYa-bJjAsZi&OtA2*XZtj^47k3EQwZHWw*^NG;_9hxn zs4Y{@#;Zy9b?^0VFCp6m=nQZU4rwQ;N?-w6FyMUv=NgX1=M%;L;Lw z_9lM>$;5^6=u|2pba|BK`OKUzoi_3mYLrdeV_k_w&bXGUWJj&Y<_vQotr$Jvo}Hy2 zpX}N#&lzHV+tS6VbHqD{vr{}Yw6LFoca@Y7^7xd7QwRhR$|ldci19SP(0;*fz+HWv z76u&NV+Bct7v8YuzGAjz5^yzS9&)Kg^@n(=Y<-I@&uzfr_eeP6ZT@1q=`oQ}vdZG9 z_8V}|=&uN$pcXzEY!(ILfNPonTvnSz{7Kd^@nBg4s1={maTXu@w=|2EB=PKDo_>yD zDn#5h^nh;d8CIxtShhB)+ieMmK@g*u*gJnx&+m;cZ7EZ%ukR zLH5ScgQsgc9^CStUM?fk|9Wj-Yk~QU_(A@PB<1PGqfSk3(8-y;tvqYt6)W2nW z0FBwl44iBuIw4;6q}-&W&R0<%1m4QUC|62=SYonK7sckMmgx3J?WY(4p6yoNZ>GH| z97=ErZ*Z(3@RIl?g$2%~K57vMfV{LS)ULluiKlPOXbD=N_Ix7h(x%Gd^1KX-biJ7^ zb17RsD1UuwuR6T1*%Gv!anYtupVJ;M61J$yo$>hb+L!{WsA;NzRy|Xpzq9&o{7x4^ zi1Ls=3ka|m)K{>z$2*pwP;Tr7;9e&||D=?@lKSX=K^v-Ysq(pl+Gu@lDRoFFX|lqo z8x@$-mSVwUc;3Z~P5OXQaiNdbgpw5u-gYbjB)&HT42}wBHJW>{p{8H>(Mst1UHs#`oFc{S~nU z8%kD~pZ#{mE^uAi`#OzbqI=9^AH&$RHbZG&L~-M`dP4<3#pamC`zs z+BVb`!-bUqAGK~1jpg~N5}C!{yAFAcy=es1VMpnvBsG$TD#7K$?$NQ1QWZXO{x zjIM)d<+0umfKHq$vk2=bBvsKC;w=qrnEZ5lq@v%qbG@6+%*J=qlenbyWK=rSLgOaz z1I17`8V=iXfOmNt0DVE?w;#bm@MFLF8?q9Qcz)v0^dkE&4g}=uXrU!zI<$xDc%CVV z@ktDq_$wkLfa;F4#mta)g~o4Gxto)zA-D?`)O&b`T+v$%i?ykC1m{5iQTfz%2}fg> z{+M)DnIQ!4-FFP|x-0E1KOQgjvSey&bw+`>e6Wn*I2i{yKNspOg4;DI!0~#GE#nwU zVg6?`k@bvY$;Ze6-JU|fHY{9)HR)#7QP~lH#WWdr#9asDxF6*B1tQ9G=}rDzx~xx@YviTf zN|w`@I6J=hb3f*srVMrKsh+h$nHkU}W7$c=Cm~wom9PFJHvjBg`3~|$wR%JtaIl=M zx-kZL1K;vytLf3wmi_0d7l+-#+meZ`-yXT&d=rSOnFPNCnW*c0zK9^-S`J}std2tIL zVOWOvQQBk4idf;tMOE4outDtzg;)E@4meo?xoAPzzBZwX1kg8pWtA$Z7HmUry!PmE z*VqDXu*@~NWeP8T`U$gxw-Ae)W(}3ZD^Gj~&U>dar zM}Uws&H@ok&+m8St&R3LcN!FLAX3;FJcc+3C%y@|&b}XnD^+|TW@F%&C~mN)Jm`fxm_rX%2)LT7f4Uy|AFW(@P!m@e zZ&kDn;(ehYuj5fHn$1Bji5db%BSvG0BB0VhHkU~jvkSvfN*S+u03EPicmP&Vqm)_^ zFe6kgN z)J-nXh@}_Ot`=m5(U?Y@+O?C8*`qaE&s?((iWcq`XI;Pdct0XsRu(j6@*IUl%g z@{)Zu_(|U#YUX&G@DfJ6qwn-{-c^rGiJwag$`tmTf*SZFFr7Cvtrn z@0?$|eIdOys;2mK{83FFHK{(CpWBjlEkS$Y#qiHFvvcRqHGkjX+Z_8vM`H?-9r@HD z;__EnyfIa!&h(;R3aU$IhHVsU#F`6LE0~A(rCm61p15_WE><>MVu@Y!e3VD`tk|1b zbSkxe=3LkL-W!UymMk8tPbp69TE)?K4=L`xmfw}1|N5buKJq|yWgge>V(RqBa(J&S z^)fgeoBc{xDDvW8eiCvt)^mS*OG@1~fxl?4cOw~{T(VbI*e+ui zmDj`XFio4tv{&BQZPAzBl~kYS2{K|PWMsMSwO6$!-pImpL~2KV;%(oxop<-UsKzZl zbbs16H}#yHFW0S(YTfu*?G>W>$%T8CsqJ5@_S8A;-qkrVF;i;RM;|OXG=(lOJ39Ki zv9Ie@M=xHVYjS&TS-7VJlO9?3XR8*8t8}&zC20$agLVOq1!!f?_0}WgKt7*>$istC zfJhZ;lmf3N)JOn29F|cK8H!^erXUmt&CA2{blB93u3IKx?!zyV%zbYH$)y0q3uaAe%_5mv*#J-s z$TSQ@g@D|uL8uszOOo}=At~5CvVMBTDUel(1AhvscNxX1w-8d_{ar~xzVU_nR)z$j zCgAL)^>FtDP~~%=NMSt@TFmIFAW-1!IiEqJvuG>^1710F#v20+dM!rrAPs6z%oz?7(g8mv@7bGbV~N}DcbvIpC- zI8X-z?6`w@*)&?ec!Wuz)uSfs!Q!orK;a$$M22Bd71rH_=a^B20XiuQ>)C}93KL=7 QFD9E#r&DGvUMZsd4Sm@3O#lD@ literal 36855 zcmZ^J1yEfkw;Oq}qP--AO!;n_)8NSsV<;ROUpm=!D>t=z3iI6g&cB+ODa z_U;z0pQF8ryM=^>nUlE%iI5Pyo4c!pi37a%#(9r?N(rwkVf&R4+9Y0z2l^F^7e&_6 zUs~iQ$*i1MhO}~Qc3mz8Tk8epyaRt{;Y*$$W2e!2E>3b_Kx@OF+_0ca=g7fp=z&O7 zUtjpMpqC@BS>@}K+1ihf+02`}*^eK@LAOqMrm)H%&oNpb?=gQ1N(^o9zKv*g25Jr5 zmnCowEZ#DkDzM|fnybhN(NcbJH74}Y1oR8V$xc8E<6$N%%Z>NNo$oQLU6@%xjRAw? zvOZpD4Igwrs3TH{`|gZ#u{Dli#jBqq`vRYPgg>Uef*w(VZj1t7n3Ww`Q95SJj6O!o zUhmF=uqWT2`-I<|u7iS}?}GxL_l!RN>@9vgEn3!yD&RKZ7TwueSD;O1WLK8Uo`z3| zwa}g>Ymz7arHyPT#+}lvKh06pNJAsCsc?OB5r{?^`vQyJ`ZJCJ9xyD-4zWMiX;<5)JbosS0om%r|#Q@%9uT`nKgJq_;! z1MUdS>ag#F>TKIxN!pkW%yMctqcq9j7BVVVE3PW~QI=LH6ELN^{_a)KtCA}+K#3zo z%W6R|B^hUnPF8&6n?DB1JmYC+`StsY*Ml=-^rpb86)3E|7VF!q_GAI5HLh9I#Ytlk zn>lHigd3s)RR?~E#M9J)Y9>{bf^FP7B;aV-V-4%;@eY;d*|LgMoJrrKa) z_#9et#NWyaQ3Ktrw?ayD=wNn;t#!O*f;Qg0*?K8jGyFmFyp6)ct+X%gMkv%n zNsdgfzCdE?;tVfbee{k|FHv}>+)B=ikf!sW-VN{<-&t8$zu{G3o*}0qb87N6MDSXb zK>ZFe6>9vAYOv;8jyg&{amc0o$}1V#4%g!ed<&iO`dg8ROi`SnQaq_LnGzR^kZ-?|iS%hK zSY$#1y9~kvH4EY-TcVN}=uFDX8ma9Vdj2qGF;gjlS5gdjtOf83syx?hLd20JE z>m0Y7$SqxD?HPNJZ~cOp&{CN_2xe($ZGn;Tlz3YmqY8GcJW9OSqfQ1#1;&UmKXkdn zqY>+z8G5|u4MGNOWn%(@WQks9a!BNevcHoWeS_X&^d||MMuBiKyx_t^IkaWKzdStb zKqo1q=>Y)~z8_lnW(Qxqnv=Czt{R<>8Dr7g{OfPvEU=aqli~~$6!_G!vbC|g-PZ3q zAV#g^50&$33r-_7&#DTamUrJKANxK)e->|d-`dJB|0oj93Tf%v$&Q2uF!{BRj-0zq zlR4CnNom%DoO&RuoqWF~B8z^pu7WhBt)f?fa9RBQ6Y9@Izq$&0<89P!1e(ruwV`pz zaxHlygz}j|NafjK0#M&N%~4aAk~lnSy!Thx{xM!j=7&gRA!>|79IF7}H$z>O`8r`#U4?IN;h}NTDz!{sHCdiUswk>y#>5O{VX$f( zb{bwT72ig{e3UQNP8%AH$DHI%GI&j@NmlE4(rmFC>FnMF{UW^!3gP;Jk|LH-ghE-o86FSq;K z5hU6{Q!RA@x?Nxk-r$M&o~K#AP@yzlIR|aySJQl^*)JEz9|2l6X~IQIq2D;G(L@rhoox3#rn{K`p>8rLv>+XXAtjKaO~s7(-`s zuU_zcdp>^n7J>vB1`Z4KAD)p;H5V>dT9*3QRyjHq3SDK=lHqHwcjN(esj(B@6fZFBz60q|6|3^9K^|u!B`>(;pMa2M4 z8`qLnVf^~Ut-VtcJzf2vDx~$qm4E!~5(%l^bTk(-c4?Z}b3`O+&D=PvyEwELSnI>g zHSsEO3qz01eEJ&VGY5Vc9jJ-iKdP$Z6sT~kkQ15fK?-A`m|?b1DiBG_GKfo?KLJmg z1vRRkh%!=f3hHYBkH$_2k2NNE~j6?<^Ds(@97kSJn(1Jt&QH zM4Rhg)3lGcHnKFiS(JiAK2@Z?T2FF0T_wG9G_mD%EptS!%p5v@*2tg3sSeaLEyPl3 z>~M!9y9U;_JY|nLn0nL}i3*K=98xf;`{=uyoCF<{c#^IZd9JiS+|ed;i zD&1IgTcz)V*UR?0(m^p*?pJdaU8o*3%p4Ftk+05Ib3%x4e@eJj$ChP5(|)`MuM{l`Z~7(m-MF1u-iXO*wb0x@XKelV_arG^d)kd$RD{x90LTh^#X{V22tN(M7(Q~)D| zHhwU9Ne`Lc>+|0qm0v71+0Igr zwk3jFf_0;+kxlbS(Cvu-6({vf80D)*KtE{#ep|rnM~`RcK|B8q4YiK8un9}2O>-mD z+jhPXjp6pp`$KdI*qHVz_?=y#Rk0FWFu#5Zt086K`{7n_NH`bXnD8CZ=cx4DrOSmD zOu4o~lzJ)SYRUAy7Nv6>!D2$Oq+#|n;$F)p4OqIRFK=0!whDN#o@~#xq3kOKIm-M( zuMo^(v--8v5oudRuI_IfHltaS)Tz~?kiKA1ozQ4!r`A4o9Ov>!pxNh8G}B*MW2t@o zQJ{I;M@7g&C|@UaXK*tT(Dy#w=idofN!a~3B<}sVK>4_t4SF0z*%c0Wofdw7xDt9h zZ2S0kcis2)mw8uM_`a;~mHFfE+wBEVZLl;!^A2A~TW_VqHM*Jey|@`mN}%RgNW!U> z>{`Dl4Xs)=8B~v7@}Hv!%%S!597mXduCW|d;AHsz&m zT>jHQTQc0lAiH>MtTu0M68w5_Fhm$vUoGX)+@<5X`VW7NW>N`ww`=Y*b(7<_^)zE@ zzVAo)$2zK>s?29>cajpV149+LSR!?y5aWDw4R|sJ zV!@ic^M9b|5Q4EyEDoGkAFyixbgHh&2b zE1w-yKrmn2REacpWO(ZTB6 zD{?WbNGFjQ06{f%!??_<9iU0*xJ0N%q~S<^FGqRf0-fZpVTAx+8%>XfB`ZWrxVS5< zUF;k;g3g8;c8bEHvfcN*v^sU$RXYx7_1+#}6@67Ap?GEOf!*$uK{UE7SH|TDJqkE8 zJ&86gUTiv#=thia-@fSJ>le>NIXL1J$d)ux!AMJyEMw9McNfr(a&f^KBrCz!bC(#9EoPpKd8Y4ZlY~baJnyOWOK}Gv?^O4F`>}hhKdZnYp!-o}IHy57YJG5o|Ea zjM~!{l49C)?ld=aYD3dJgmZR^RDEgWHV1vL={~B{W@e4~RdZvC=+J!@+_Z`bn|tve zyP@Lm_mo-Au@KxmtV{j#GMaYN+N974-Fl<|VOzNEupQrj!o*5HGd7GzdlLc`4Q%b# zaiJ65A+b83*c_>`Hr+tF{jtO(LJpI9Iy{FKSFzKMA6R1Hlo1*48T&RilmveSp}_SB zYd&WBY?-^2z6#ykNuPe{f7VOw7+Ial23)}<1r2DNu-r{Q3*b2joGd86^ij1mnE)q*e<@Zn>jb)?b zfS)~dE1?HP7(1?^V0lt~F25D9t6DDo1)C;Gz>Ayk)xYicWvP*|*P;h;p^YAdPpPdr zi3@iCh?U06ZPQuz5y*9-RQ$fM;Qp*${g*QsoAOZI7A z^PBoBhuD-tdkgZ(Qc0L3{d~zv(3iCU94}%8ZdP4}#GZI)^>}bl8_`!iA!S=VVe^!4v5i*FVVzdR!6DV3DA0Y6nf$~HOn%p;$sSUB$~aNkC`Vw z=Mn>*zUrwUB10W=wDX^n97{_V!@}!M?Z)bY(b3H>h#+BC4=&TH|8Q*| z^QclM{qjuZwjCB~M}8(5hJI2iovz3&oMJ5Z(G=Gkd}H&hrD?O_Y(fTzm{vp>fla7gp%-P+v*!?6GE8UQSlzm$TKA z9pcGf$T0Cmotcj}G|9;Yo2-8;{ebjeoiNw`PA31CO3qix@^1KUfTvM;lX4ATgX^74X{Kc#A zfL4W}Ibo)P!7FYqQX(+8Rf0Ij4IVZ4{VU0{ybVg1?7E%5dHct~=hjd2M9zwd*)nVk zYNt^taO-fnc))u!T9zuUfanDG48>ixmn_WI-3=!#58qcA&V_G(45ye~Pmn(nAMrdl zkq_-h!B5fouI>JdMQw+e_K_jb&mkcThlvFY5$^VWeHIS*clKG#_csYytY1)dun|qxq{Z^yiC^4&QTwxkP4V@bj$- zk>=Rvp^w4Vkay6F=|>Jw8UvYzB(4xwkiqzUU7 zHDn+0v?+n%EgQ6d%e)3P(B$;esarQ%yOyrKGn26gvhPpN+I~mhSd;aY%VrB8Xc^ah z)E-G*V_>!;DiZp0?i%~MHK%wt==uHXV>jr%>?+9bg=-!c{{lm!nLBy}*Sd_Rj7w3{ zKc$t-w+At{I4;Ul+j|;y)VeI(!jXJ~76sh!gDZ=)Mzwi8mePm3<8hSM&xC2=DZw*V zg3sQ?=@})wB{{eF$boA@5B_9M8=K$2tY?u1nvbX<$vrvNE*ZZpyXx+lv5ppILA-Qa zfApK3_Qk9M?JeSrB%?(cfjM?K$EALJBl3hUQd@B`5!(?3XxTOJRqGb;VDbz1ZLYp} zT}Ds$^+&w(%4Y2pS5y7=h{@s(_kpzi#?sarnsw2ebrVKu$&CJxS^S_INsi`hPN~j} zn?()Viy6~-0^WhiasJYVvA(V)T&CrM-BI>3`Ks>wC;{>bKiwJs;7nXGatdul)Ga&x zK}&i1Ca&;ZXo6vmrJ8%1$%~Terj7u^{aAq^$nv1%v36K+kp*G7ludiJQBYHbq6)-S z!8M+%Q3vBnIJsg^kU8y7!o;kj@4=)Yh}j}r+g-e&4y3NJm5ZFw=xH?G5x=ldW0S70 zRGps})zPV%2&}gRThQR$%SlTHjMYO&20>Eku6*Tc%t8vA(#l%h38@*aoczBpdZ!b{w;QufhUwBNoN?jw^QfI`RIbI;mHA zMaPadnh-;o7o{2i8pin7xtF&DwHN+8L%_V5T@_1Eqp%@ASduXqGi+{HinRzoJx(dI zl_yu6TdQ%KSdRCmNHLKX<@rN;G@iUE5^*i`?j0)I>BV9?1{wyL=gjuAz3~ zu{A1Xb9vl6>{g9(qrs&QIUh!jTyaCtfWvj7(JNg(6|53Aa&xD#5_r|Q4@ zBm#K?H7cgdo8kNq*|xsE+o4Piw4Am((ME&}<<}G9>w)#Q`RQ`kJ+1MCQ_Jd__>qrw z6(4JI-4Z+98?A-u?}`w5G_%ac1p9y~!jnAM6(Ss`*q+|THf3Th z|76>Cb1=C%E0rB|u`Xl-N_3^V5F!r!i-RWZ({^q@9%crGdq%m{n(^QA2u~Pai3zm= z8X1tK^RQ1}gg)q~InMb$=wP;tZt`>iAV3+1qm^shD{NsxA|5Y{HdJJ2R=r9~R8!GpN z(59F!Vw;7ilVz&CS-Q~S(23fjSmL&+{=CkGN9>RlJNsANF)Mau<3DqBdwTX+#Wu7z zh8DH&?)61}Q+lC(b_AaNgpGd}1=4cg)F{DuC_7?(rvvYb)tt~pdclrBa2((n3o|F{_5>f?clC3q8CZePY z=^(!@Mp%|>d6)<+D8Y8%F!N-}!y(`MwhGwit1N9%i?zt0*H}{Y=QxFY2=auc`bj;X zR%Tm^scg(ucAMHAosDRMrg71=2=|BUh@8H;U6#Da3`x!nEtVoHkqE1KWC2nUppvNl zb>1}|1}5n?w)sFYYc`Z`Nq6KRZS?8=PeRaryx1j+p>zrv>{VTh(lMKXo*Vjl?(w&q zM_GQv>FmE;lsr8m6?sOd>;q|)f~MkpT1J_Cx@RJ4`rhX?HZCVptqV)@oxQB9%kzj8 zMZt|#MZqy#l~iAOL)|BixL2erqh4eciWunr=-_7=mTvM(@&cB5X$W6?;)=t(MQPvJ z8;L_9HN`DywlMF+_5^p*Q}X4Z0E(RIHbd3v&ozKQ7wSe_VR=ceGeaVn7f?*c&&AG! z(bjD-<24zlidQW;tQPKCQ5yc(_02=75?BMm-%4{Re~4u24LHfwh}&vnD-Ngt%;ahy zeUj#a^!_oqsRci?4fB^~nOm$ZDrhEt3RWSg&Yp@bHB|=tX>vNxR!Uv!ERP9R0XnS0 zOV=nQE_Gal`WkXIlF#;II4Jvu3UBqaqyp&(yDdI`-KG>&;k}}+Mx<>BO(AG)XEaj1 z>_2+h0VQ=fWKt$A-uUx}Z~4VN;)f^us?2UdySolPGF7jH2e(~i#DV>6xj{)3rl}7P zhxdx3?8yQgIvZ9mY{Hs*PN$O;KA#r#=9DW!Q(_8EctBr@f0 zlt;F2Tz0`hiENnGt1>!eOw;}X!@wFg1Q_d_KLBc^A<-8C@7?F(we*9KW+*1b1c^bs zyUQ&jzjgP*?T}n+t_ND6J63q~?Fed@joLM-S5KMst5WRl2U|uERsoTAaii@k?c*&s z4z-lw{Lo~vn3sQHn>4>zluR4g6_L>-4P7lHzAy8-Uhuwx_D7g;!@cb6YcJ6i_5LMzaH{yKOa&;Ea_;!OL@C2Fz~I~>do21ExJ|6TpZkLw|5x2Et6i=+2e8voM~Tgs zuDxJh1xaLMOOs^0JU%2jwnR?4L;=u-G%nT!oEgzK=MI%uF=tL8b8=1j*L2i8ORkZY z;Wgv&nql6p+sSlP7S;-9W1U5$8}EwSG&XiPeeh5Ejp;!v^^-#T!E|?6g9!VKy(8f8~-J=L3Y(Se)g%MQ!ASg{|rEp}IoL?d!Jc zluM}{=1YR zm)MHxdw+>NNA)J=;h))27TMIyrHj1CZ||5^gth=0=F>%PEZX9KHj(p#;S3@iD_NCV zRoTZE`8yx(>@{(5xo9$9a!Q=6=C(qedb*ae2b=E`sR8m{~kK3-h{Wd4f-T1cF&vnCqfQ8!|y%g{aPjETPZ# z762Ls%A2YG)WBIh_TE6B^3S@=@wdzsVpq-spR?cIfye)gESrs8w1R$_;t8;<{||X6 zp9e0Roq~Cq>K?aRh!Y||;Dg~v+FOS6T4r2>%8ZHi9tcF8L_4U+F})qB=y8wiAs(9k zae(`;IZ|KLzSfW0Y4Z2qRsv+F$>46qV85)AWoLgFZ{JOU9*4%Z>BUVdzfFPe>Gt=( zWVeS7@K;w3yj%MYPnu48@>z{x|LljWRmc;65{+--)>3Z$A|l_UUdg)OG;=M#{a!*e z?_-eCaidZd?>kbmy7HdHAVxbHsd8>A)nq{xr={z`bOnJ#gH7i*G0BpvB3tG!Sr zxXXYNtADbiv4BF;6ZGJ>op!=9jdSuY;Ob6ug*G|;jlJkEy)J_MG#D&cu8^rqvxLue zK1QG(sN6BOqzJ(COzOzuXzWRphuPJFpfv%w{F@p9-TWM-HvadZ~oM+(nU{X>pk}Y>hX7qK~9*O2HPn9RU zZ7vd7?Sb)ulSWlOTY|kkF0!dczYZn(or`?#6U)!3>^eO9Af)!bv@Y|V~X?uDc3bAM&7$^+2xPa3i& zpb^Mpj0@vZ4pWub*W=dSbb_2MoimtI!}nn&{#H2$*LwW zh@#0MgJ?9IZGtI(;_C{k8p}-wwd5wjuC$Tg9?90=0wFk1s-_1tvn&TY zP`EN);rN#!D^cG}A=6vTv!y=K=-38Fe;2P57Rk&UEh5N&GX)Hnf=tyH8bcTV=m zJY$XqKEVf1HcEKpTGx#TNW4>IdR?n8-x?c?Y$wESa_&f(m(1z=+=sD~n_($>S^me`4#*zbU@$#O_0u{91V}|!>U$$u%Rz{#t+6pb-+Ik`oU4_t5+=F-0U5Q6Lp5-1?HnuY zBTU_m2=c_;3{b`%Mr{`VH`W3TChB_5&ba)#Wry@HlFrYncH-z5zJcumi~(Tuk>3{s z#9DCquy>}9>+vY;5SzRD{CHpm-UD}(rxY3Eog)UZ>YWzf`Xfq9;3zXq4i;Jvc*=;{ z7ooJ)h{gs;WNIW_z7HA)9S7Ia$`kVjd0xMcRzF)^t@m*soMu_Twyg@sG;D@X=6v zz1%PL$gAv&6K3bFX3Zh3w`4`!OG@syP>n4)emy6(3+)&n@=e=$60nxjRHmC47|t36 z1U+1R+|+&ibJ}62#JBj8;kS@TmM%^EO5yB%WztVpYuprlGa@y@ID-86itjyZ-Q6Wh znf_o_8roKq_kEoJQQlfX-_%%hn95xPl_{jf9(3$xZfwbjv)}+Bbi*H5W!kKCA}ExkS?2eZN?W3s!Bc>`d~f_LLv{`uq- zd9AWXIkh*u+JoP|X)kyt>GH<_7U}P8PU2=fOO-?V$W^^$R*+Eo2l^u}SDz)@%W^O+CD#bU)%? zPuLgym1I!wO$;Y$h-#2)ws8$RxRB4g;?-uZYvvcRw4FitQf8*4@GNSZ#z@!IC z$uGuAr5*TOq3e16K5&&t6EKP z60p>2w8n4?82viVKX?B<*qW)fa`Q8;zLUId*mLsc>He`T=!S{gmJE2so7naP^S8JE zfx74#O-zWQXZrBz9#@wwp8o@9ALB-Pj57bfAL|&7_KB-=x&v`YH}5*~p0iR~wc$w} z`6^QUmdyI5vlOJ6bY1O%Q&p#vXa*~>laAU{PIS21i&lhM@mzE2 zhMG;;-fQLqqns*x6wfGHSI6&Cq;iX59T^dH{moh@j(FqiQmGdl?3*@Jt&5f3n@Z7B zN@6FTncxc!`c0cnNvET+37B*_dRt~7h8npZxz>wo0Xm90xUpOsMoLdAFYP%tW+Y2k z$O89XDk*)hyn3?DT{dlNOXb=dn2J>zy`xdXf3Ya~bf8osL$!+=dmk8_Cd@D1zGMHA z_~#4=$89jT)~q-mTHp)YV8Q=T%-z_Mom6T4;Iz-m+UeaoPC9Vp@kggpHW@3D%&$my zK_j+`n#I4o0ogzBpg2$Zu~Vv-_zzZU*EUr3tD4EB zu%GjFt1m+rT9$zK(}P>XRcCLvwH8{soE027U!75e=1sJp2+$0Z8Yo4m{7X~CX3(vv zxt{+p9vZmO@@Hb$kyHb&{Yaw(rz=I>wRPTDZrNJ1i^~sj@{zrkiJMW=mN}bl?5U7F z_mT66ziz^6DbhF8Gsy8KV&UT~uX~iZ@h%?v5~$H!6K?3fksiz4EeGHAf?uM=GM5X< zKwpx$)%f;{UN69aZcZuH`LrV1cDHS+6;>GJkn&KngCt+hPHCQzu3)oMOx$j+ewr8L zj>xQP-l>3eOzIzsqBnl->bLd+-Z-(9#;F#3c$;d0GL3rGC2FNRcCQcrlU_fk^%iJZ z!-wy-`7rn#4~ARUUHtnWfy+LM9w`=z-tw={a9v@0OfxGYo!M^LhCx6}W4=}@zaMtd zGXJwOL4aW|@?MX%Z#W(huhXPA5yDtr3&e=vI3>UCpjHcO?r1Vn*v2p> zNO%;+Ybqo`IDzsJ#iq{csQ+kspU`!fz6I+2K`)bcZap~uC2Ug4OGjJnvgo?|Tf6v5 z`{w<|!p~LYwj{~R=t+Z0_X4}VPZ1@YaP{&J3=RE*5dY>bgSr|`e*3k79w+~_h{hQh z!#aZ%3h|tFL;SJ2Rp^oX4RmUHr8SN9&&xsK49pw4MZQL}0fl(?3ajAQ<|nMwhw-t}+|A*SPWx&ZBfG8-O#OC9eWv2Y#lW z)%=dJE>6Xb{*qnB4xCswe&g)K*`CvI)=`X)X%BuSbA0opIOKqE^ZleRyAgh`163(R zrY{|E$_6>tbqMjatgSrE_3Lt$b?VVmmjw|k$ni_~8eQhaxZ&OsXJ&33?)%O6>gsle zepYce+?*(*4Bok*y&o#%aM(ca7SOQ)I`6X{Gw*d*W+7AexNHFg)`T?E= zt-IBm<&vf9pScxHYRlNz*BbtUX6~CXgv;WvFjg2@FLh|3ZEdLC8oM12TM+N<%A=i) z*PlhY55OP$KiDw63x1oUe*1w(Mw$wdAHS7v-mjgmI8u?nwyT#fDoUYLCG{w7xw#J} zp09qa@;?U}QZEaC3p5ESO)EG>W%(5ymMgI?vZErrb!2Y4flz+237L z>!d5NQ9fEOeq4+uT$P&v9h;I?JZaaz^~)z&`W?T3Hmy2qV*n0NHHDTkG#=6$;mKQ) zRqukUmBZoaDuOEJ6Kvp^E$4moX#X@+y@e&!q_I7moPydjW&1G+-7`U}Cu#@Xnjm*iL}pYBQzJRH)$ z>G2i9?IkFR`3EJ1bIR(pJ8)e)A1(w-81R}ONF3mf7OyV4a+dyQTc)>}^}7HFm)-Y@ zjvPnIhNUD978H{^%>@TX4w2e>TYA4L#XNog^O(}5a^X_F12A&0wqFFEkzvYk=_xH< zU$dO>a_&RZ#vsApq$!DW0L8>PsE2$$opBDHLRZ4AQQVS=u6t`zAhAUV2{Ziup1CpV z)5F*pPkZ+|-Rye09T%|Y3xwOZz0$?xpqJU*kI_dZ^kUYULOCs5Lf;D0%!p_p!~MD{ zv6XFtOwG&9Ji>iIum^-$ZI87k`Tw6AL1?DQsc4AyhK` z^#kDLQh$}Rl0_FRK*81M_DXXLRb3#QEXmcCac|NBJ}VfA1GP7n9((M>s!5!F zP7lq#W|C?0F)4~_9O7Kd&Yb&rG7Bsam6P2#ry2D;i2{sAAiDl`#GbhzHb4r^^wxxh zv2!8%hzvzQ(Flm|p?PwC2j3W4u=Ve2jOxJC-2gen6z9ZJ{{`dlyL8ZBt)S~$$SK&< zEzwp;eHrO<(|NS!32SwopNMTBupBf#7J~xPilVj1gWJK1##DqN#$AQ-x3y+cMmr&yLYCI-i5HIqE z%iIoaSZuZJ?h=l5TUa6~fAZp7m1TrIvW)gvpUT#%mXQy*%cD1O#70HRL79$x`_uyD z{Da^nkbQ{4JcS$YU<$Ocf|tIf9a6{!RvV=s8Fnp2cORH4#$U+pK#uDumwE^78w?~X zezVs0{kt)yF@7{{N3Ny)EWCq}7O|5YSI@CvNHzD<7y%N%!L`8aR%GwP%oUrj<)Ei+ z+bm-;Hju2QtF6X_ou(cFg`aAOel!)TZu)usiKGPS?*vOH6-TT;kWEYSN7ePp5PND* zz&JQ6=-HqRCq|a6!33=fXH~A+Aqh-@8Y7!Ub|C~Qer&gEFFGNZvaUl+!JGP=AK=#P z`<)yriKoqApi~4!SxkFw4h^DQ<#@^D91*Gs9}&`Mpw9B6CNul&^sm?|K+p0Q&)g#S zjzBUATp4$;o^V`|RDJmhiEkiZf8ANTjGJr)?ut8aS+pz)%pV73NPbKI(S5QJPJR!K zXwSi%2F#xVRj}hM8dBn_om2>$Ixjd?g7#YbWfNNeJ;Zvb7*$qkXW%cLTy;xj{$;6D zZEes3-s_AhGxe_;_{=N8kSNiHVjQsnfpbUSh$>$_42Bc5uP0tYPjCEP6fOsz1Q;?=}cfD8r&pY~z_U zdY?Bc)7@kKxO)_OU-nx$?PPWq;tw&{m-@X##+S(!5XK>Hxl2m#i?dSO>t4$g=2)(< z&UtoxI7RabQc1?1M@n63tO!Etcw}zDL|Fo};T$Hz$k)f$%5gJtSVeHGN>u>BK{nEx z3>M`o+(G0%J9RFr8~?0V`8dz=A)!h+azHV&LO;SlUS+$99&;KI?)^Fm_qjVWS^8a4 zpJ3)_&?0PBD#-w2#gTVPmM3I4gAQ4QXN#Nd=^`6LA=UglFc@t@BG~v5q*31Py^r3hA8OjYgX`HT)O5OBet_#|_OV8jJ5FjY}Pj zF7ECu3MUG2THA|aXF;pQ>%%(}5`G=8|xbXI>|3ym>& zf2Qll6m|s_s=_B@g;~GohRHKDW7uuHBL)yK;aL>k$IxD^DRg7moX$5ec~uuj34t7S z2liqk>^Hxn_5um#SaVhPu{Ka$3Wj*yI6h$ro7TJyfsFhrTn-3R8XHAmhuNrJKEGng z9UbnX3pRUu)qv8~$Ax7`i_$3=9Fol>9Bp4-S53Yn-?Osk)6B$N`7_tSk5(_@DXek= z*p;iu`%#lO7ww=@NR86$xQ;3D0fFK(ue2sG@9OQ}{F>de8Y45pDHK1mgo{WgJ6!<= zlY>HmnyC?0zr+9CNKJ?qIHz5?5w0l=ekK(1*zK142oL1=KRiZU_$$kkboH2!2z zn~E++uBZQM&XE^>_^@4&)(@+%$|+?w7yoL}W*_qcZCxq(9(;xIPDO9};-?}N-5L10`N-_Yu`M%yZ<~-7TJ$1dKoV@wCU&9# z2Xp23gXJ=*Bf-xgscGY=CfLvra_J=?Zpmg;zv#`)i&ZUdr_*2y?e-|ABg@Bk&283e zf5wOSx1*1f`vSbD)5Xlzk;x;!TU)dR<3{@?r1d0o)dToW_L?fxo8Qo#dDSUcJdso7 zkqy0F`7p3A8q251PQJ(SQpAfr?K(6EvtMaUy31`#d^z;uySwMJgqK?z4&O>Wqjekx zs_M=`2pd|S%bh|Duxzcq2DMz71gt=CVi+&+nzrvLbLleL-6hY|X^M!$Wa}yzy&BDA z<(04KllM>KZS2Ig4*lWAy9&%@%yK}ObAgDIN;pB5L+aVX&#z};#SVnjU~;9$^gu3K z8s2}voABe2J`$j7%m5xnxr>BAEYcVv5!zhK#7OJ%Ei5FZ>Fo62FR~{`KK~;}cxD-i zlg1|U6922`Mkv+e^YWr-b(cl3J|DMVMFZ_X|M4%TR6Z!J5;kR$@mM0$&}_l|jFn2U z-)h8JE7B%%u$lQc%SDxY#wL?`_sOyOBH@u>KjlzBXII!I!P$m#vPN?pM-ANqQCx1+ zm-JFAGQ>DpkfJjCcLXNqurMz4j(qZMqc3vP9$l+oSfXxy&10hhQoUCIVdEQOWcLY$ z;h8f7CKSOCnKm$8K{Ar!6w|jv^sJNJa;1xJm?zuRAFd47s06sRQr(jG8h(7dX+_md)~)3 z3DSK;7OkXoZ&N^4sp6#AVfwOHr@84O%UP(lz?4jhv+d0xrHt4fQa!~ln|mTN?R#bZ zmtr1V|8VBYi%Rz@g~#Ew~-i?J;Kj%P`Y^sLSG*>({3rQppe5}!m4pb4xG%`d^j2_3#O)qZ&T-`JZ(fcwyi*eL|=(QPlQb< zLXXne;Ev-%Vi{*Wiq?ih0BDlULc3Do2TrNvc(7eb`IcJDOFVPFE$~RAhU-U+p1NJD zP8R{CDgc-^r7vd+w}zY-wcw_StY`nGZWJf;7a&=C#nVh2`CwMx10#(t4yLVuZNtpPtTU8A$NO3z_zYOsjXukXBQ6-92wDX zc3^```Yg7)q2tWrkvW>a5gdp|4(Y2Gt@rjY+PcOH$(Q|o8c%ZMoRn<^9Y>MpY_9I^ zGE(TN3S9hS;-9_ZTBxyn$T|0hQGR;cPK#`I_fP+EMA&*c_F1I|osFw3F!Ion6T33E zWGgM~?v4YSGlPl=cd?G1wxv3uM*Cuh*h9+37n}Y4)1!{I?`9%*p2By)cQed)GsRv) z|1a;gsHddr?E^{r;X-sk7=ME-?GstlJ*c|#o2Jbf z*J^>kZ;n4@=V|W$=3k}{-bNU0eeZL#v7Qd5{gwh>_xuNAeoJcnWi_kdoN#YOX351$Hn$njenG zUryxQ=3cgr&g+V_`o(%38X|Y?g1a;r>=rM(lE`g>V7ekqz1)RYm4n4q;JvuiV2i(V ze%s5n6ESqK0EWB3bY?aDoE7(|+*GRBUUflxRJot>1W2%?2jst8L2_d+BIq$r8-g)cZyH@Sp-Br~xvuCQitE*}kyx7xx@~U4FO39Gh zvgvEkxnYi}mWVcUhyHGB&+JPvc4NQD_d6F*Q%Rd%PXMmZTl@ZE`7YM_#E9>GAcdhVO)Z^|c5-T9 zufF-lR{}{b!Ev5}W@ke;iSwtn1(>bI(1^KLK=JL&r$hUcNh3-=Q%_n6_0o@hs#(h^ z)`dc8({~`78fkA*t)-D$AVy!!29G0`>;o41hc@Y;kjUnhcJ@}ImQJ|X&u;c#Y%jf5 z+M7lZN&a>nA4+Jsyue&mc5eisR@v^>anMKMjz;Cd!ex`*2qps-q^CrA9N{Kb%RS%`1*)e6g$Y(e3^!x#i=4^NnM)K!Q#A z=Hk}1ad(C4<<^-^TGO<%57>oxD$aeunnJ-cnS(WwyryCVUxg@QN^AU)l=^XWcCf}i zDM%QzP?AwKd^U~x@fCG10_z~N5ee5!mAFYtj(DzZ&~t&R78XG-e7CAg4E{`onuwaqj&wn9Stn3(hJXvLP5%#g;hre-YHal(e!U+ zwJ)a_toS}ffcFF`)*&hjD;%0xmAGwM(*xOPluf?)2UQK=`G(K_FQMI#Q${-sytk<1I@T5 z-Dz=zYbGl&Mer47oYT#)jHBH-5k$O&=OiVzGTDwUm2Eb$A;h}UUyL4O6!hq|)=!Nx z??y{a#lz#;SEs9eL#Hb{R4}P52aGa`9Tp0qFI_!Lq&(lJ(BzO?VINVv?&aEQ7|W)2 zi{#>789+roAqJ2!W`M$__ssNo9Wn0s{Lkt4XK(q~XJ+46 z7qA+m4noD_ztmc`tddkvH4)#+0!Bj^EF^3i*Ryzqw zi6b{NL>Kt8f zMC0x3%6SUxD-XrwtJBqASgQw7$25dIvfJ7CaZWq+B(9gd+|br-!ZpL+F?&OD2a&BQa{;c;o`g80JzIcFl_@o9>xVyj}m!CF+)b0FTZ zB#=te|U0lg{)Y8<0})*bW<>^;%uF35&_<-BafrN^T` zDn0JYv(6U9$+dC&GFo9(Nwu9GyBK8A=vf=XL|L>c&Lt~6c^PXe)~FInmsBIWe=UtJqUmo6cXPwY*WOvH zA^jqCd4mT*8?*b1uOIF-r++E(I7-TdODs=NwN}%2Qt=uiU#=5Vqcd8QI#Px$6^Sx% zV%2wzjpF+Yv^{~;I>u5X7cT1pB9?j593qwqjwM&FB_51?rTO82pot1q7Sszn{44oy zZG5Q`+}7BMF+me~ttVqyYc)zv_3tq9eLT3rZL3c{XRoordgBp@h()xj5=5ppyzFR<(b=J(W1$QE8j16QxajF%3{%oH`)ln;kDff5gwc= z9IbTvekYPFK0#PA21lKr!j-CQt7<<2u;8)5MXi%gEzj9q{#v&L^)@t&;SYmAQxtM) zi>UxLV@IF+bsHZwV+Ixv%?HNmfIp#*LZ1`{ui%gSY}devShrW@n?QQhIQb+7kqcOO z-exlgwfE#(S&IfTn&fx1N)6<+FHTgz5*2Cf5*3CzjeIOCaMt*>0a4o@1EL0Ej#?<2 zVx6c2uhI8L8h@|P#JXnY3%erx9TK<}fP?#DIcuHFt2$=C_<(TgnpBSUv4Hq;kU3w| z3yUOxXQg~`ApJC8J_q%2S^`%{@)ln6@*iGlHiJ%P8-njFP~0OwU2hH2^D7 zM>6~vy)KWH#C1I1&6X$Do$SjA<#GLo5Jiw+7r`LwRRrN2{Fw5sPWVFDI3R9FTVCv8 z$o=QrG+k^p#T+-KBefAa$9EibQEh9kq`FjiLTtH(4%Jot8ef}xBg_*0X{~jl2)@3k zDyD4@mzf!@sX^wXe>Bs&OEA-#pP8zG<(Tj3Wt*F?2u+N8%TJ6iW0v2I)xdD&WCWAr zz1aR?g_q%6k`v>Rc~Fx7B#2MEy1h$o&En(YY_zkf$pKa#CQ%K{6z0rJnzA9N$j^)F zJF%j~=2eC%BS&>Z-^DA+-i5HJ81$p)%p0LtSAn55selCV*RPM!?B)54E!XQm>1wWj zM-6kSwSIZ&&iHO`ICy^hJ1%<7b@o1r^9EV9fj^H*cb^W{Q7cofJ7ZWC^7eR(8?-l$ zacmjYBcv7O2Fsn10n!kRaMW60J&0i6lZ7_#{<1g;F;gQ6D-K&{o%Cg#D*e71$LKQZ zK$6;}5WJHluPnPR)DjYkSvaonxYh1Iq@nY{Chn}Yej_us`ANWBn;HY|SD~aS*G#`E ziERTlew?!Xmcl^?6Ek>Q6L3*D-Aifa&e)cv4W6OzS_7=TeRDKLB^xx^I3Yj;u}z}A z{m0O@fBD5x3_o5|_U6A0ZD-c~@HM=>owJIcfNb&cH@ulh(&7oodM*E)F+;(wF@Y_s zy!|`;WoGPshkUfx6DMLAJVLrX`)D7z!=LWn7$1^I?3d#YcwZP4uGMurlz@QH+k)y( zF?O_(xd}ziX0K|ot^YJ7Cy!$(WVJD_LXq9{|t)|G-~1#%yuHe?d-H zD@VJu`=_ms$tm&q&qsQX`+1jpl~p7J49@t)&v@F^8;Bs%LsAmU3L1JjjUmP20b;A{36VU?(BW;l&DKPUc5@jGiXxRg5n5i;W%WXo z=ZD4lIw*soO_~~3^Fw(CCpKFt{4+p#g4cOrX^jO}RKjC4vfN87%AA|Q!__(XE!eo1 ziD;4mKR<8tBJF%n#3+|04sxHxR{gr6&&?RCgeu!Oea(prz)OHn#_$ctvi2BD&CUnX z6S17;j4uMLAIF`kt_C&t>|(MWDH$w8inb(|T~J(l#J|M(x;C_A;TSB$OFJcnXwU{g zoPeA$`3-5x%XT1!^E{1Jo*Jlgf6~eBlyJt;?$J3gQTOsQ%{<+Ak-e|!v3$|ql6;h> z?a-a;X*5ZPmBS5kH-%WTy8s$^WSMnvg3OOEfzFNT!K1=Se=%fDq=&?^N2~?-*}=6)W{|c0pL^(*Fo#GL`n@4)3UJw|(J34wLQzRd}8#pc;;u*B+n-)m> zl9_OvKYJm82G5S)>Zgk(WFv|h1MkBY_MHz+c1}%rsGF~pqKsqpX>KsOJGp$$mnO}I zdibG?Ra#@sZ!COqa89aCk}T#@lsH(CJlk&~anSkQ@XK}U1n0&tIPd}Tuo1^!NMW`P z=#I(*Z1uQt>G5JSp&Sx?qr!!lM8?6NY|iD4&d@yGk7bdPH9@DO=H12WRl|4VEw)x@ z@`|8emf;spG4zW({0g)L5`{ohmGw|q8M51DqEZ{d{nSU<{XS%F3Dl8aSm>$>;0`NO zcUZU1+u)WB^I(C-NE`h5OPoc?pg^24cnfGyKNiPr-@gR7=j1J6f3CszPx1*q%(D1-$fBhBW4*uE*Jm9PUB=Y2 zV1b6nvfiAlzn|F-MD}bWP!>rnddEWgi1mlrQ~2#Zs&Yx&=b%ee2OYgritXOE&jC(B z76Yi=BOUuuzun)3Y~1@EMGatjb$D4|qkkjZBr?fxP$1ma-Qd6y_3NiK>b@yQgOr_t^@ozMv3CuNxOT{We~x_3!vZ^04vgGgCRX?6Ib51{ z!nBbSM|?R4O{0mnt1B=rG*fwC zH$lv}*63mFYl`T(y@BzL`AwfmKaU@{KreWJdpq!}B?5W#U-jWxXHu=6YBt@pX4$X* z;L^E}l+ORvG7Wa#yXc1Rj_6nwPe^-#JmnjjaMPsTe|P{!Jc!hR+k<9yf6HF8|^^D)&hu)t+w*{wp)z z|3^D}|L-nbO741ai=Y0DcdOhV8NU3z$`X)25j8t-;RZSw5tZd45<{ObnfQvbmfN z-?@7v>YHF23iYhNxLul9F_hl6M%oYZv0*PykZ(A;*zkMww5|8d0D6!t$ZfeR(Rca` z#EQ}vqQ)mOG(3&Hwm@Oz>XwhioPCnd!U+MBo*k zgVolGPBLXP^Bd3h!7KfI<>Aq7d*i3Zw7BA=&3)7Br>0i!J2h9;A!A9;*`v~Ux-?MP z?sWMAR~rMTmo>6uw*;rgP#aRykVUe3y;gH6aJs@xq_-nBi=nm{IHf-oMCDEq{!BCP ziiRafZ+&U;!21~->{B#Z`nc3|+~xAEFjhM~mz41);=HkFQz1cys`Z`ulQd&)LR{v) zBkA}7z9Gj`Su`+^&HKJN&UvHI&Du3N9@RSmqjeeEVzdx$2wL2;z++|>?$&x_>BThD zHq7Y+I@BCcPk^<{UENND8qW^;)a%=IIoQO1bjmr}9_ybCIbAv8ALW%#*wa5h-C2KZ z9TFz`B03*dc32*~pk~BE(9uc!2A+arKfS_u z6t0Kltw*)Wc{txl51_O@Wv2a@(<=?VURl-V!Hrn2-St=2#Sq8x3paO8aG<&*>B@2& z)8j#X&B`w1%&y;s%a|{fg-AXLJzq9RC9e}Ou?E?*>j(dXCYHzZj!@Z$0lBGP+0tp< zCntQiu?yQc09$(3+O-eAze@|acbra<_**K&IiA52`J1!xruH2F{n$jWFwvmba|?Oe zR~K+k2geRLB<9Cg)j2w_$&K+(6NKOMHH>gNcrSzxvUJsp`;!nIE}cwIj zB8x|f#>r9EbCi+1L_Rm|Aflb1%d{`c{T77hN4YyhM&*e!oJ2ZQt5hU0;UwQ5NbA{l zGp9r!ul3qcZ_BO0d5f^|z#P8Cr9GR9sGYTOrFpo!Ul4Rv&*F?O#I+u9izZ_a`81>Q zdhT_l);mC^*$jFTPG!Ic4-@B3$$RB@-lv+2j7EXq`rkrccjz}c(1#7``?Fk0=#bSx zi~P-OX7;Bs;o4w^)qfkeTV&OP#p7ACU^+AX`0$7~P7C5kY}9j7Wf4xim%sG-9~Fkx zhWDGqxO)y7U&1{B92ZQ zrS=lvw90008mF1NH3V{R+)5wvL~$!EXM3`82+{VUb3b#Dt3`MHEPoQ{D(bP%)nmLz z%9uG5KYP&_wl5;}0JKqWj$-qh-|^g+IuIMHnS>7GJ~EisL=`VHs`BKNn9D^EMp3)E ztQJxq{pkBRq`p`DY`lfXzTDrXggd@VagU7?!-f~mzm&zvFd#LQXK^9nG`V}R3*^59 z2P-hf8!s29p2sregP!MF7we}C=St=rZgsq~hOS7jZ<~S=SH?7>wA-|&-TyG;$20kA zUsZoQx1gTJH-RFOEEoxhbm(i}_TIw8f!m*qzKXEiB5j%w35lWNVDsLY-`HT**Q z_4|3~T1;*G;XJkYa_&pJn&XcP+(g;@$wFJ}Jb>RJ9~Jk}jJmrHbFl^kO9^S1pjSGN zXpKECDOZFx=XG#PcXytAZke7}&U*;A+y!CiX1{NZju6>sXLjY}C8)y&rhvjxK>jm& z^BC34G}^U!m5&_>q1!K^%0`pEjEn+%@W3jhoh=n#F95m)lsU>XS2-K_G*e)}{CEG; zBVDD%d<}IfWRml`_!6Qz!_LW_gy9oT1=66tWSjnnx69MXyOaMIyDM7hoqg;g-&Os+ zkJ|S5bNwb_0LU0Ju7QmbPQHsB!Y^V_2r#p-gY`wj&~an70SceTa(*bM7`Ey;_nz-d z@Q52i0Iaw};Zj1&zmw{HS^z~GvQzePTeUundC9-jn~~9iJ{$7h_9jrOuB-f}NRt`N z1%Nx}n0dsEfdV;U(S4L}V5bE^|Ejt%?i480CX9AS(jTT8!Q!t;N(5>~c zkL^;%Uccr^({&$o#@FtTC9E}kEuLOiFa!_#?H2po+FfZ;+Zjmz=N>qkU+R{&m-pUo zp_at5TRcO-)oD{<_a!d&GMFNQjF+2b-(!?fX{YnMfHRAeAYmPU_v}H|Mm+)Vl8IHT z%ZDrlhR7*PCcz|o0vp{I$$_x#@vrRICzQZ~H?&4BlEo(0{fmbYDw3HGHwDB?_$F$u zJhwxJYkG0l;N9svl{nUe@hlLmZ)~txR88_tv$kT8BefAp-y2V7b_V~5U&)6H1@N6p zTqEok)*Wf9%J4Tyy3mK85e2ys$zRw}@_mPwEyxbO#*+wNW}O6~)Az90()6_7Z0NP- zFtU8lj4%;>A1^6M1{Mc2N}RK>Wkbjg02>R@i4$)I_g+`w(ud)w#C`3mE)~*fBifhM zK!o31nCuEz9aTY&RI;vUj#Q%ivJ!>EMmm#8|G4D!&uQ1cnlXIwe071eIQe+oab((V z|jBLjJ519ikF^ME_do9F~0$k2E1(?YEre=RWtvKgUs58h*; ziR!jZ)M*Y!+ol&*wh;bnKRP#WV{~uu*_&!sgk3g`EtNYekkxb?CCx_kGP5MSak7uY zDx?PaZdXsMk4C-Dyhn~sdd?m#w12lDN8A{!v&ynrL@E*u7%*ZO_)v#fImw0^DwjW} zddOeuQN1%iU=BAFuVlrYTBZ=2VziYWxU3o+HEpTZl2FRf`N>apRO|C0p}oz@*6pz7 zi}Gx!TMgkGW3Y^I?5b1f-M0^itz$;g0O}nw<6W#8u|}k$RP&H|B(#b5A97bafs-5D zkH4As??e)SW9&*b41K-|h$2;un_^E(p$*P6_v}zv%Uz6iX4kk)9{(VB$rQrn&eFnS z9ZT5;>e~K}HlyqNZMcDA@gYY-8S*RgtRHIM0mZm9Nbs+zGp!cD^#3?{A`-rnOt5u- zq1wr!(TT6wsio10^XbyB%6XgR)xvwmR?)kPH9xPU5~HSc((fsGgDj_5JVvXO;ND*{ zySmvV|5YCn1J{Qn55n~!M*meG5`4uVti&Lzxd_*XU{M8OZ>@4tp*k|mrcMm$M}6}k zAXWzDGlp(SVt#9wQof34)++j53Zz$?r;z#h4uics;*YXCAl`u?+nakoO6CN@+OBs& z2$nJ`dmSyNR54Er38a{B7rG)kYjGD#XdTk~oITTr*(x8g>#!6mDLt2c%-quDk?F@` zFP1?Y{5wTkf5-U$5cOyCzdK~p3=P^GplLYrliUkE4R|K+G1-6N% zlk+GF(s-`$N`k)%i3NcpckiI$;H_lz6s?xm#wK_;`D%e(r#N>$T-lc91h|)ov6=Bz zF2x+R8YNeCT&2eP%sK<+@ z?(Fh~MyJ$o;q-yU(06^BNcoUL)SYZfL#&!fc#IMr8kroHnosDi)aSwI0&uNNMYXAx z*h~%4SoF`}2vxf0Ze}%l_ew7GLR&Ar^p*?7M^<^dz|2LmURe zvPmmlh}Mi2w}ygM59o|mFh_b8eV$*F-SOSQR{}XBIgtX90{^YR+9rWxyE7)4AxYv% z>;DWF-I5s{|EItyaQ9B&uH|gZ^z?rR zJdxwhK!cy{h5_;@=Z4#q%jj~E$kSvw`7ywh;2GBfd823<6JIoBPR3pBe7+&6lt zG`P~W!rlZC;l-*BAiUgNd0Z>kBl%J1;o6vv-*Bfd;a1unlyLNd0%$+zR4{HL*~itg z!zh5m%K(qwM;`gWn+}xjIsT^kGWi4&&ImXOdAH=OeMso^)`4&I5MzrZWGiDm@fSdW z(0pTcLmQx2r`Wqka-b9tmXJ|9b|e;m(YGhn2i!e#USz*MBxc08yjc;*>XF$!t8#D2 zqeXRN9*trmrU*ku*$}qr_VYjc%()@_+a+prhcYZ^q3VostGdUJkByjE8dG%S=*gsk z_*X|KsT_jFx+Tsg-}BMqLF`dR=fdp%yVX0+yCx zc3{Bi0He6j)eT`sF+pp|8+MOpVqR>HhmW(fGL+Ryo!%Ya@!N+J){^C{S~;_D2Sc}(*)wfP6NR+#2shpYW=-F$ZP5~od5vz? z!zrs>9jIIB7;RUr998vv$Njud3A0?@SqFEEx+ixUlY9#4iQ`hVcf;(GSK~ntfXO5`-ww_~z8N%DXYYFf`kKKZUcha`*HrH1PM_M{)41 z>dyVPUoUi)+ts#x-haN1!^U|jLr3q;8$BY(-ggE`LzG?hs0ab%A;P#m2$U7KHPcLL zw4*hbz+otCyq1FjNFKXdyL4nwT@{XQn;+en26nn^3uS>V6;WCBNv?G15V&zu{E*@0 z3IF(c@;#)0zrkf-u%pyu9CuymUFqX$(Pf63=!H^C;5==yb#mIBWHb9gm)XQL?M%hl z4Kj4*cY_PP>?^Pzcz3D)P4Gc+3eRsy}&?cW@QNHz* zvxeol&9CQc3U}r(B=$b`R&)8okCs4?!;z_3XHw&oY!ms!AVALY1jU*7doSsjV361| zA=V@4D{SO{E}T%_2~y+Juh8Jrll~t8=a?DF{|t>G5;DR6hcF<=rX|9rWs^OI^}h)Y zAHw6`{|BU))%gD}KnuZtRQRXnF)99%=s%sW^yDOxx3uNjLjzd@oU+=~69FehPo6#F zzkwdj&(VHo#)w=Gcj^caEL@VA^(QR98Qng2A1^a<{pRF!li;+WAQSy#M)B&^MfLH; zi)$J>eoNJ=<4||^y&+A}3vy}VEgwsgr!@p#CQ*_m!PoO!vg%}lqY9{NZ?oy5pWPg@ z@oBFFUiZHR<}!h;bw3Wei!!b)?eob~#ZGA5gQ$-+@6p4H2wcc4dHBIvRhhEQ8r@6Z-HKKEoDP16@Q@!F zgeo`Bu4l`&QGAVJ$er5>kQ{Z_jnUV*_Ek6U(AEDI>5C8vzja1cnJU%7C!qM~oykLYGf7Y)JT#*k_23uyvCn_9#>1+?gdrLT9 zQ(oZgQCi**h4(hUhKC!sxLhXca~kp@v>t8aWh>h4RApuva$Du2lfTw$RZkF%*WsLoV6!e>mzb>K$Oqsy!*?XL`4Gr8l9i%Xdr;QD(Ri zCB5dx6&(epX~J8^8P?}um=yZ9&-CdUP1d#RArS2I@V635s=^#hrfdF{BdpFv`I(rri4)oK1u8Z_>`(rpCrt)s6@!?qbzViu zdC9wCZ#xiDbnxa%tpw+(&*1A6cunFb0$XcL@~lH{XE%3P9-7gTfoC6?v)1W&s>*jE z1+m@}ViU!d(^%vgK!q~4R-W^{kCTbO$9nQf+RPJsx7yeCHZ9v6uuXR7vKD1W%=a1@ z&D(9!YU$0}z;NRNZ$CIbQm*`bjQtuAHN6<B0nEw*WejQ>qUSs4(uVgGbaW1?DWGi zu0-BsT0I%T(`N+c^`$KlD>^VgIEU??NU|r;1+jI5M}QN~+I?`d=t7i1P`&}$aOC^@ z%j0$>YNzatX#=?agPs{>+l!kyOTm(WpR6$G*GgCG>$_r9UE1u`#u!HOcRc0SWl zihi&F{F#v&uW~QOCEeRP`N&eurM*hEqGp^37w0ZrEbWBmW`hFH*%uU{ zmge>2pP;p+IAbbVx2>f(agHNxPU7U?c?|+6n ztK;BKCOE6d!(x0V4DSKQFs`4?bjPq8Tgd`7p@F^ITFBdFwr2;27Diss{zYY1+4j>W zjb;3ntkV)`toevk?)m9VB-wb+c%~!nk~fnrYG@UPHN0;Br^`k;Aw`L`k-x29No)_v>eUG%#6dL4t0G(!FzF2@9NZ@2pPF zrC^y!KhiIY`@A%LF}GK&9ylwNg)7?1;_0|=j3{-O1pCkzfOxUXKA@X#U|pa?EFFU` z8Y3;_bI#+`*03uaOJPI%?&Dz5Il4te(-S(Yqo77L1BC)cC)R!zIx=XkcBvrKx#=OK z!Oaa85Xl148a0k|eY2F39LnOy zD((3{=g}MXV!Zs}HtWh_haYG+vjDymepZAHhy{&k}w~z4%}bSbAB5^eiGl` z@UWmoyJ28wSr{hMSqfz-+vd>49go+7%qxe6PJ2r!4#k7VK_g^|9vCGmmy|Oe4U~f&IHmACs;OQiXPL$y&WhcgFmW8uU0ymHGzBrIyVSkj>qP8|AC$-o7R}ykArTF8R7C7`}*TG=MQ6uKCgQby3%0U;ZNQpb<_!JZ<-gLcqD1@0&Yta?k~uaIMl zbPAn538vPIbncCu`NmEhh9Jn6G6`}CZv{mnpf3Z(hp^a@P1#HD(D`GfuB@UDwNfcffDPK~| zFBIvY=yclKp-HasgiF1#P4;6UF`}SlNb&JcWtk%0y|{yV<89#2PpY^J1B3$+@%T^r z{1pxQd6Gh}{3(UCY1LUivAd^OxbH}Ra32bw@)SW<$s5)wxpHKQ`3>QRcT%k@iCmKr zTDKCPULR6I>EZ3YJGZYS!Y{om-o?(;Kc(D7S>i<3s`S?^RJna(J$uDYiV0g{bbPR3 zl6>0EWFL<$fUO8aq)UGRAPIhGRsw1YJ6eU96W>E z@*7eXubcVdE;nD9iunGx>2{mC(Pbv{8=S^bVw@~L0DNy!_(iz)hTC|gg>$_d!wgUG z0jGOJ>E;PKPCUXuVDv!Ph!h)^0otp1as~xmEeD5@w8erdZFjML{C?vw^b1N{8OW+K zQjmqa^hpqOvhQ$(kH?((v4p4hW{$WMO{<-Enc3^Q3kSakXMbtLK*{DiV%fIR>~u1^ip>GlUW@XKS)F%2sKSo3OYp|7t|O^=?l+`1jKjT=jp}u{ ziZ<43y%PGj3%#Ekwlwj;9VcH$NCY1VFQ0}Sbs;$ky5VsHYyrfL+b4SP)n;O0&4SI2!Xz91x*67Z04qP`7Jz zoDQj2b+9~jo!^?axx$J(jcMz6GqZa4DEI74g173LhUI$U6MJnpE19}X7{^X{)KY~( z$2(5J_9E1_b6e|DR^kl_MS1p%=91uDm_xx!Ppj__X9%n- zj=duoX06;>OQ)(q5f7|K3e>-SJo4q-6?JR);jgP~m~;z&yb?3VsPw%E=lJt$=h)WG zmUpN8(>sCSm+D-@c42jmZ*UudMee{stgCS3(a?S(enbksX+j3mv zywK+e*dmY-)}5Nz!1mZ@I|6V|mR}#{wdhXULaeTQQ-FLuV(+ZsEXnN9#d-HwV> z@;4|BCP!%Zh6;E9xS||TbBzJfL7u-@l7m{uD5Sq~QMvZ_C~@)-Fx&QD;#q%|cNhIl zQ4(1+&WZ0wV;wwf+RCBd)_@^>(WS>nGlc7Hr})I|J&7xLk9WJ?%$mYzqx(od+QfL= zxyssNLbBX7JpX+(JvdOdQiXzmJulS|!}j9jF_b|yv8vNFp^@FWpBmoQDhVOvJPO%X zfDH+{1X%Mshh;eyXgb7~&J1I)t%@GJuU*2wnfVkL=uv>TWif6Q`^!xGx5cW1n3&%< z-*XrA^m{k{O9UcI#7{5FN!aMD_*%SBiL%iB8Zx~kJ;x6hxO=)3_s{!9eH-=cb4pBi zj*q458)GBo+V61G<(*2N-&O)xWYCP@?sMY~ud26^(tE!+wy(?KCD3IIew-uQ5{r#a ze+h&rCx*U*&un0LXbl`R{^&X_v~#j^T((pN!G^ZS?|6y7mFnuYOV}2k5T&avZD?v# zfu*w_QEF9z^8gFvy{4$T$!y4P9ixiBlkE7Rd7s7%DVf4fbF<}DkPb#;N$=jqUI>*W zurQm3-%*qv)wTf7?UG`dctMelB>hhS=cGd@frX}v=#beA1%vzV8S_bVYy7xc<8QEp zSgNz|WU{P6O{=5>oiC;1(P?v_zq?uOC{Ym*XNUYDJtS$oMgUl;@BD28lYpOip`!Y2 zC_18Sckk;SG5UC@kCcwv+X5!z9e{4Puu79ZK=(J~7{USN#UI8Sx3_}U%LI;9RTyumj(PpDf};cw$Fm}rM7S?F18PO5P zz66iP5P#t-*B#c;h3c*8!@F&qlKeiVzTOz0IcUO0p5J7}- zhv)6n1tnXTAXB!LL7F6k^8k_vJ=Nj)v*NekooP*vHv2Vsz1aQ8hv&Jik!Nn&@y@_E^@ep8f5g=R9EF_}$ zRa6H?jL%PG2J3yvJt&6y1dzf6^ zQ{@{`&a^c(;VZi;jVkR_7?QA#PV{NiX2$z7{Z|EXb_LYmX{JwVM~jvhDCDdptqe1^ zWqrwD@VU<#WA_x`k_>G{g#&DqUD9S7ro{eL{s+fhF`;0`<1m33qQZ#-DsV?6Q7|h}|0HDph%zAj2%M)z}v(ptYK~X}gxl$Dy?k z52`nCyb+kf*<^)Y?l7B)8! z_|Wf7laMAahS72_V-7 zQd){f6b(6m9n$fBk@hg25HxTjmKm8;v@=JZS5+bks&81+bbdcKs=0Guy%y^9%2C`b zawFIS_AUiD)R?=PJv`E0iMBJ|Uo&nwrR))oF$vtK54IMX+VEL;`2_dRonJB_Lp~Ra zkZv|3;*I!((C4H#hFlp+1wpNhI-1~u9<8zAOKJP^|N5T4>!_pF{&YDmVGECiSiaLh zo5FE0q)0GFStQSGtViZf78l(1%D>G3vP(2aoT^dF)K6Q^-s==v!gt#0{+QqXTn|~0 zY~v{_7Uv~MPN$E(hSq2BSBv}c+X9A(Ek1N-!R+K9@t9sAA2)+rRpd&5|C9NN z9>fW$qjB~nW6U`psGn)IW=cgD*_8c}H1__v$- zS?&|A%8o{T!!5y~UP*T153Z_Cv)!hwGN1VxKANn%jieSVH!y!$0I`9#^5i5a6}9L^ zNYc6MmI)UHK(~m=+nTonWmVZtwH*bfd53X5&xBQoGJVIG@0iu=usdW*N(!IhfNr zy~02ys+XkOvZp8OENc3rghN-Wv*Kj`-bz^!pEIb~tW#VTYRA)P(yFn61QmXBy|?O&+BNaV8ED{WDp-fWwiWI5 zU>kY0!NGTQzhB4-!fLq8t;SO-dbCK;IyqgzsqSXK%2jh)gsfY=yr!`0uGe|Pqoi;i zi#9DN?=HpPSK30JBs+%$GM|h+FPV(hQJKD|qo!vy;a~6F<#k;q!y37q<*Gx3M z${hz&UMGrsR8Ys6D3~N~(ZuxidkR$YJMk!4M4B8c^F|-H*Y2q8&=O_UbSrCH@|cQx z)imV~zv0moD@mxaR^Gd6s+-I8of1Izy_xrB*nPtZiJZ*k>Ln$~g#TRBi8)R6O0=T9OB8pvGpSn$TdJaPvGcmuteP69 zwKh6YHoZ5w{@lDIbsA@7IB%>W;FqJ6R24b2C1x#SXRVU}UHI;vEK!pm=)7mI(tkBM zTGR(eAT3OMh~}@|K(J9z_`{xL1wTeSECeyTTVO4w!`}@De-1gT{aA}vY;tw^QtAwt zjd5TjoJp_xG50H&x`eRmW+-dtNKJQSr0?_7!n1%;6m)Wl@+Vy9bYhj?n1^m?mc}>{ z`_8}nn2B0l!0Zhk7Ziz&R)y?V_Yhoxh8SBB!7q{kP1*K$Qx`N{?bq!-Ww5TCtQ%|r z@{bjAd^!lHnkrToV3`}b#Amt40vms zCB*y53<#GN)uVg?9U<1F z(Re!D^EV5-vUla<9x6X&!W1nyci3bVCEuFaBrv0C<0KCxf(=J?yV)G(8FF&ilFmO3 zZuL^UWFXHKRhj<4@Z!Mw4Z*A8$%7KyD61hl-AM>6B7A|RoLL2^b42jbu6A^L`i(Xk z0(6=zWD$KAx!#aGFBREZ@5K^VKL=~G_gmbT=(boB++-KJKC5CI&ZwRNIfNf?pUdX% zjXB*3eD&I%S6lTiYSU(-Znk9jR;F~?9vov}QC98JeO|5C-6sopa=%aNxT~(=I|^}l z#@oIA$gS15eIed|#TsNZCmC`0So_#)S;4K~UT-~6&Ei!be%az~3K?lA|8Nr1)_(68 zOIU1COu~NMi&4a(vb-VWt&s5E*@gm!#1^9)xiu7XZx{~N6a0e30_?t?!Z>+AbOW9I z%3{o%pnS>#nhla{{&90b3upU6cm9(ijSV>6d2+XYyCEXS0K9jObNQyOTB26wi2 zdMJV9M~fhzro8vUYo%QfLGTgxgXrDFuwRl$9K+N0R@dOQv0wLF!h;CHHcJ&LJ#T&V zoPo|{ShZ1xiWU&0+*&~xg`1s4M3z8dSPk1i zU@(viTu5>;x$L5{43-LvV?jWikqiO@8bCH#6iO=yK7#{cv*iKnATk7EDTspzGA99A zgy-qZ56_R>ocDa+`L_2v_sNfYQyra06;)-WFbC~*1HN7;C!#H_NE z{l)L*{C6yi?=naOYUiG`#g1h8-qgCPoG9!GowDoh#A54T*tRn|)li)?@!!lfJ&Cr^ zxYU`&BdWLfSm{D%l_VmANpw6~byArcSUTu~IsE=ga@2zVPT7U3&OsZ~u{O_^p0Dk; zcMo(_4lkZQ5Wai5|MLR{0R}H=+71OZzCrO75n4B$FYeubGXdkqht@n-WAC}weXs8P z5?^F8bxo62z58IrNJ+nU&5e1eCpf!Sy-a0xifL=#X?C6kp4+QZ33ToJ!N4&mF~Ju) zZSVFs@N9eQdFqGV?U6o>-xb;oZ;x2JO-RB;byB1wJn-A_LWem?-*C0w8PBw^ql*W2 zmw$LsyyXRh_c7?$PCE#VxBgq%r%F z)1oF!nGK!Z)^%5?P$($_Z=~)pZC7{ zvS|NAPMLNGZWWL#8cUZ9J*tQDbyU@u69tQl`G0m!?T`5Pgw*rUFmt3MEK&3FB&$Mm zizu~#p`5W(O8s)q38KyZ!Dg^6Y)6V_l4@M?g~85)N3KhvmFK=l?EhESiP^U7prMC4 zwQf59A)70ewoB`{%{H-u{*3Foy>7Ez_(@FYzg6tTzqHR&dcQEcF@C%|-qI^yNhC{G zE!^dIN9L4t`*OWx6OY^co9Sh@=F00EhVgj;?C;Jl2Q1ItUcUQnT}}f}mS5O^SbFPoa7AQy`s&=nswz@< zb=~{yj^5;QCL?!7DjG?(WTiG2)>DZo)7?hS#o#Y&bM`80@jMQ99uZG9hgN(LcRVuX zv~M9}d7JtRefx9e7n?sCns)E?s@G+u8Tv%OzGG_bD(;`s;CS(`m4)!>5BeT|8q}rr zF=Zd;QF;^C<>PQe&a|dL`Fe!7=+-}o!fU6?m%3?Y|E$&PdK#%VkU)R-W!_fRkoj!| z)FEXf4eyer$rLBq2+*Lf%6&JvAAyq&(W7FR8ybYbAV_ry^+SN4clotN;E zT-_n-{xQy-{#az*@NBquWWV?XA)SuJxec%ybo0{tvI@HLpZCP5prucTpJm>ZS*HE8 z#VB;Qz2#2J1?%F8uqN_h)VCfszWbO{$QUh|Fw`A6dTv_RYT|nh^&Cu94ZIM8HMZ}) z9d$!v4YD`KXJTl52%jUw1qho*0N=2{Fai}KLh>txMkSKgK-wy3ZcczbVj~a;c4LPi z1n?<9_&7uY%S-~yM1)wRAP5mcKn?-}b`xMh?g$RCU^j*x1bZMcI0QTJfUeEz)!KhW z68zA>A+mfQ$ijjEJ0l#FZH>i1EF!>6sAPy{O7|zgHb4wm6hah*Ng%rFHXY36ZkwA! zur*tVDE5Qx5pEQMqd{!BkyX#-D~hRmWFW4HMII4J0on3{Fb>KOfnaZxZ^aj)YXIRF zOUA!$$P&(4b&w1*6c(6p$PFfcQUSBr@>fEeuRZAir;6;IJ_PHQIpDNSpSf(TxF7Hpm$p zgR*bHOiVY)(TPNGYP>B+CvUb(r;^w0hYQ#!7ZE597I!ob0XcwRCWZl4D6$LAF@7)x a$z5GJyM#DffGhGvp@aMobZuSj2>%7ySN87! diff --git a/hardware/sss7modem-cache.lib b/hardware/sss7modem-cache.lib index 717f7f3..68ccf8b 100644 --- a/hardware/sss7modem-cache.lib +++ b/hardware/sss7modem-cache.lib @@ -1,4 +1,4 @@ -EESchema-LIBRARY Version 2.3 Date: Thu 21 May 2015 06:41:40 PM CEST +EESchema-LIBRARY Version 2.3 Date: Fri 22 May 2015 12:04:05 PM CEST #encoding utf-8 # # +5V diff --git a/hardware/sss7modem.cmp b/hardware/sss7modem.cmp index 6c2df00..35b90a8 100644 --- a/hardware/sss7modem.cmp +++ b/hardware/sss7modem.cmp @@ -1,4 +1,4 @@ -Cmp-Mod V01 Created by CvPcb (2013-may-18)-stable date = Thu 21 May 2015 04:14:00 PM CEST +Cmp-Mod V01 Created by CvPcb (2013-may-18)-stable date = Fri 22 May 2015 12:10:17 PM CEST BeginCmp TimeStamp = /5557C4CE; @@ -95,14 +95,14 @@ BeginCmp TimeStamp = /555DB3E7; Reference = IC2; ValeurCmp = LTV-352T; -IdModule = mfp_4; +IdModule = mfsop6; EndCmp BeginCmp TimeStamp = /555DB3D8; Reference = IC3; ValeurCmp = LTV-352T; -IdModule = mfp_4; +IdModule = mfsop6; EndCmp BeginCmp diff --git a/hardware/sss7modem.kicad_pcb b/hardware/sss7modem.kicad_pcb index 7f3d477..f241863 100644 --- a/hardware/sss7modem.kicad_pcb +++ b/hardware/sss7modem.kicad_pcb @@ -1,12 +1,12 @@ (kicad_pcb (version 3) (host pcbnew "(2013-may-18)-stable") (general - (links 58) - (no_connects 52) - (area 0 0 0 0) + (links 60) + (no_connects 0) + (area 23.444999 16.200001 57.835001 81.8134) (thickness 1.6) - (drawings 0) - (tracks 22) + (drawings 15) + (tracks 137) (zones 0) (modules 34) (nets 21) @@ -55,17 +55,17 @@ (uvia_min_drill 0.127) (pcb_text_width 0.3) (pcb_text_size 1.5 1.5) - (mod_edge_width 0.15) + (mod_edge_width 0.25) (mod_text_size 1 1) (mod_text_width 0.15) - (pad_size 4.5 4.5) - (pad_drill 3.2) + (pad_size 2 2) + (pad_drill 0.8128) (pad_to_mask_clearance 0) (aux_axis_origin 0 0) (visible_elements FFFFFFBF) (pcbplotparams - (layerselection 3178497) - (usegerberextensions true) + (layerselection 284196865) + (usegerberextensions false) (excludeedgelayer true) (linewidth 0.150000) (plotframeref false) @@ -84,11 +84,11 @@ (plotinvisibletext false) (padsonsilk false) (subtractmaskfromsilk false) - (outputformat 1) + (outputformat 2) (mirror false) - (drillshape 1) + (drillshape 2) (scaleselection 1) - (outputdirectory "")) + (outputdirectory pdfs/)) ) (net 0 "") @@ -231,24 +231,24 @@ ) (module SM0603 (layer F.Cu) (tedit 5557CA49) (tstamp 5557C922) - (at 21.082 58.166 180) + (at 27.94 59.055) (path /5557C0BD) (attr smd) - (fp_text reference R2 (at 0 0 180) (layer F.SilkS) + (fp_text reference R2 (at 0 0) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 1k (at 0 0 180) (layer F.SilkS) hide + (fp_text value 1k (at 0 0) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 1 +5V) ) - (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 14 N-000005) ) @@ -260,7 +260,7 @@ ) (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 5557C92C) - (at 17.272 60.071) + (at 31.115 60.96) (path /5557C0EA) (attr smd) (fp_text reference D1 (at 0 0) (layer F.SilkS) @@ -289,7 +289,7 @@ ) (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 5557C936) - (at 17.272 58.166) + (at 31.115 59.055) (path /5557C0F9) (attr smd) (fp_text reference D2 (at 0 0) (layer F.SilkS) @@ -318,24 +318,24 @@ ) (module SM0603 (layer F.Cu) (tedit 5557D49B) (tstamp 5557C940) - (at 30.48 36.195) + (at 31.75 34.925 90) (path /5557C303) (attr smd) - (fp_text reference R4 (at 0 0) (layer F.SilkS) + (fp_text reference R4 (at 0 0 90) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 60 (at 0 0) (layer F.SilkS) hide + (fp_text value 60 (at 0 0 90) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 9 N-0000024) ) - (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 10 N-0000025) ) @@ -346,25 +346,25 @@ ) ) - (module SM0603 (layer F.Cu) (tedit 5557D584) (tstamp 5557C94A) - (at 51.308 52.324 270) + (module SM0603 (layer F.Cu) (tedit 555F1DB9) (tstamp 5557C94A) + (at 46.99 68.58 90) (path /5557C4B0) (attr smd) - (fp_text reference C2 (at 0 0 270) (layer F.SilkS) + (fp_text reference C2 (at 0 0 90) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 100nF (at 0 0 270) (layer F.SilkS) hide + (fp_text value 100nF (at 0 0 90) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 1 +5V) ) - (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 4 GND) ) @@ -376,24 +376,24 @@ ) (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 5557C954) - (at 21.082 56.261 180) + (at 27.94 57.15) (path /5557C4DD) (attr smd) - (fp_text reference R5 (at 0 0 180) (layer F.SilkS) + (fp_text reference R5 (at 0 0) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 1k (at 0 0 180) (layer F.SilkS) hide + (fp_text value 1k (at 0 0) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 1 +5V) ) - (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 5 N-0000020) ) @@ -405,24 +405,24 @@ ) (module SM0603 (layer F.Cu) (tedit 5557CAB9) (tstamp 5557C95E) - (at 17.272 56.261 180) + (at 31.115 57.15) (path /5557C4EC) (attr smd) - (fp_text reference D3 (at 0 0 180) (layer F.SilkS) + (fp_text reference D3 (at 0 0) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value LED (at 0 0 180) (layer F.SilkS) hide + (fp_text value LED (at 0 0) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 5 N-0000020) ) - (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 4 GND) ) @@ -434,25 +434,25 @@ ) (module PIN_ARRAY_2X1 (layer F.Cu) (tedit 4565C520) (tstamp 5557C968) - (at 29.21 38.735 180) + (at 31.75 40.005 90) (descr "Connecteurs 2 pins") (tags "CONN DEV") (path /5557C31C) - (fp_text reference JP1 (at 0 -1.905 180) (layer F.SilkS) + (fp_text reference JP1 (at 0 -1.905 90) (layer F.SilkS) (effects (font (size 0.762 0.762) (thickness 0.1524))) ) - (fp_text value JUMPER (at 0 -1.905 180) (layer F.SilkS) hide + (fp_text value JUMPER (at 0 -1.905 90) (layer F.SilkS) hide (effects (font (size 0.762 0.762) (thickness 0.1524))) ) (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.1524)) (fp_line (start -2.54 -1.27) (end 2.54 -1.27) (layer F.SilkS) (width 0.1524)) (fp_line (start 2.54 -1.27) (end 2.54 1.27) (layer F.SilkS) (width 0.1524)) (fp_line (start 2.54 1.27) (end -2.54 1.27) (layer F.SilkS) (width 0.1524)) - (pad 1 thru_hole rect (at -1.27 0 180) (size 1.524 1.524) (drill 1.016) + (pad 1 thru_hole rect (at -1.27 0 90) (size 1.524 1.524) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 11 N-0000026) ) - (pad 2 thru_hole circle (at 1.27 0 180) (size 1.524 1.524) (drill 1.016) + (pad 2 thru_hole circle (at 1.27 0 90) (size 1.524 1.524) (drill 1.016) (layers *.Cu *.Mask F.SilkS) (net 9 N-0000024) ) @@ -464,13 +464,13 @@ ) (module c_elec_6.3x5.8 (layer F.Cu) (tedit 49F5C09D) (tstamp 5557C97C) - (at 50.8 43.18 180) + (at 52.07 65.405 270) (descr "SMT capacitor, aluminium electrolytic, 6.3x5.8") (path /5557C4CE) - (fp_text reference C1 (at 0 -3.937 180) (layer F.SilkS) + (fp_text reference C1 (at 0 -3.937 270) (layer F.SilkS) (effects (font (size 0.50038 0.50038) (thickness 0.11938))) ) - (fp_text value 47uF (at 0 3.81 180) (layer F.SilkS) hide + (fp_text value 47uF (at 0 3.81 270) (layer F.SilkS) hide (effects (font (size 0.50038 0.50038) (thickness 0.11938))) ) (fp_line (start -2.921 -0.762) (end -2.921 0.762) (layer F.SilkS) (width 0.127)) @@ -487,11 +487,11 @@ (fp_line (start 2.54 -3.302) (end -3.302 -3.302) (layer F.SilkS) (width 0.127)) (fp_line (start 2.159 0) (end 1.397 0) (layer F.SilkS) (width 0.127)) (fp_line (start 1.778 -0.381) (end 1.778 0.381) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at 2.75082 0 180) (size 3.59918 1.6002) + (pad 1 smd rect (at 2.75082 0 270) (size 3.59918 1.6002) (layers F.Cu F.Paste F.Mask) (net 1 +5V) ) - (pad 2 smd rect (at -2.75082 0 180) (size 3.59918 1.6002) + (pad 2 smd rect (at -2.75082 0 270) (size 3.59918 1.6002) (layers F.Cu F.Paste F.Mask) (net 4 GND) ) @@ -503,7 +503,7 @@ ) (module bornier4 (layer F.Cu) (tedit 3EC0ED29) (tstamp 5557C989) - (at 40.64 69.85) + (at 40.64 75.565) (descr "Bornier d'alimentation 4 pins") (tags DEV) (path /5557C144) @@ -520,11 +520,11 @@ (fp_line (start -10.16 3.81) (end 10.16 3.81) (layer F.SilkS) (width 0.3048)) (pad 2 thru_hole circle (at -2.54 0) (size 3.81 3.81) (drill 1.524) (layers *.Cu *.Mask F.SilkS) - (net 18 RXDout) + (net 20 TXDin) ) (pad 3 thru_hole circle (at 2.54 0) (size 3.81 3.81) (drill 1.524) (layers *.Cu *.Mask F.SilkS) - (net 20 TXDin) + (net 18 RXDout) ) (pad 1 thru_hole rect (at -7.62 0) (size 3.81 3.81) (drill 1.524) (layers *.Cu *.Mask F.SilkS) @@ -542,7 +542,7 @@ ) (module bornier3 (layer F.Cu) (tedit 3EC0ECFA) (tstamp 5557C995) - (at 40.64 29.845 180) + (at 40.64 29.21 180) (descr "Bornier d'alimentation 3 pins") (tags DEV) (path /5557C2A1) @@ -577,24 +577,24 @@ ) (module SM0603 (layer F.Cu) (tedit 5557CA3E) (tstamp 5557C8FA) - (at 21.082 60.071 180) + (at 27.94 60.96) (path /5557C0CC) (attr smd) - (fp_text reference R1 (at 0 0 180) (layer F.SilkS) + (fp_text reference R1 (at 0 0) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 1k (at 0 0 180) (layer F.SilkS) hide + (fp_text value 1k (at 0 0) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 1 +5V) ) - (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 15 N-000006) ) @@ -606,7 +606,7 @@ ) (module 1pin (layer F.Cu) (tedit 5557D331) (tstamp 5557D31C) - (at 53.975 66.04) + (at 53.975 75.565) (descr "module 1 pin (ou trou mecanique de percage)") (tags DEV) (path 1pin) @@ -623,7 +623,7 @@ ) (module 1pin (layer F.Cu) (tedit 5557D33B) (tstamp 5557D327) - (at 27.305 66.04) + (at 27.305 75.565) (descr "module 1 pin (ou trou mecanique de percage)") (tags DEV) (path 1pin) @@ -640,7 +640,7 @@ ) (module 1pin (layer F.Cu) (tedit 5557D357) (tstamp 555A68BB) - (at 53.975 29.845) + (at 53.975 29.21) (descr "module 1 pin (ou trou mecanique de percage)") (tags DEV) (path 1pin) @@ -657,7 +657,7 @@ ) (module 1pin (layer F.Cu) (tedit 5557D354) (tstamp 5557D351) - (at 27.305 29.845) + (at 27.305 29.21) (descr "module 1 pin (ou trou mecanique de percage)") (tags DEV) (path 1pin) @@ -673,25 +673,25 @@ ) ) - (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 555DDF75) - (at 97.155 46.99) + (module SM0603 (layer F.Cu) (tedit 555F14E1) (tstamp 555DDF75) + (at 46.99 46.355 270) (path /555DB5D6) (attr smd) - (fp_text reference R8 (at 0 0) (layer F.SilkS) + (fp_text reference R8 (at 0 0 360) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 390 (at 0 0) (layer F.SilkS) hide + (fp_text value 390 (at 0 0 270) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 2 BUS_5V) ) - (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 8 N-0000023) ) @@ -702,25 +702,25 @@ ) ) - (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 555DDF7F) - (at 106.045 39.37) + (module SM0603 (layer F.Cu) (tedit 555F1536) (tstamp 555DDF7F) + (at 36.83 46.355 270) (path /555DB70E) (attr smd) - (fp_text reference R9 (at 0 0) (layer F.SilkS) + (fp_text reference R9 (at 0 0 270) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 1k (at 0 0) (layer F.SilkS) hide + (fp_text value 1k (at 0 0 270) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 2 BUS_5V) ) - (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0 270) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 6 N-0000021) ) @@ -731,25 +731,25 @@ ) ) - (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 555DDF89) - (at 99.06 43.18) + (module SM0603 (layer F.Cu) (tedit 555F1C81) (tstamp 555DDF89) + (at 49.53 59.055 180) (path /555DB78B) (attr smd) - (fp_text reference R7 (at 0 0) (layer F.SilkS) + (fp_text reference R7 (at 0 0 180) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value R (at 0 0) (layer F.SilkS) hide + (fp_text value R (at 0 0 180) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 1 +5V) ) - (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 17 RXD) ) @@ -760,25 +760,25 @@ ) ) - (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 555DDF93) - (at 100.965 48.895) + (module SM0603 (layer F.Cu) (tedit 555F1B84) (tstamp 555DDF93) + (at 38.1 59.055 90) (path /555DB83F) (attr smd) - (fp_text reference R6 (at 0 0) (layer F.SilkS) + (fp_text reference R6 (at 0 0 90) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 390 (at 0 0) (layer F.SilkS) hide + (fp_text value 390 (at 0 0 90) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 1 +5V) ) - (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 13 N-000004) ) @@ -790,24 +790,24 @@ ) (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 555DDF9D) - (at 109.22 41.91) + (at 46.99 41.91 90) (path /555DC2DE) (attr smd) - (fp_text reference C4 (at 0 0) (layer F.SilkS) + (fp_text reference C4 (at 0 0 90) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 100nF (at 0 0) (layer F.SilkS) hide + (fp_text value 100nF (at 0 0 90) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 2 BUS_5V) ) - (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0 90) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 3 BUS_GND) ) @@ -819,24 +819,24 @@ ) (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 555DDFA7) - (at 106.68 48.895) + (at 31.115 44.45 180) (path /555DC2F0) (attr smd) - (fp_text reference R10 (at 0 0) (layer F.SilkS) + (fp_text reference R10 (at 0 0 180) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value 1k (at 0 0) (layer F.SilkS) hide + (fp_text value 1k (at 0 0 180) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 2 BUS_5V) ) - (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 16 N-000007) ) @@ -847,25 +847,25 @@ ) ) - (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 555DDFB1) - (at 113.665 48.26) + (module SM0603 (layer F.Cu) (tedit 4E43A3D1) (tstamp 555F1E89) + (at 27.94 44.45 180) (path /555DC2F6) (attr smd) - (fp_text reference D4 (at 0 0) (layer F.SilkS) + (fp_text reference D4 (at 0 0 180) (layer F.SilkS) (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) - (fp_text value LED (at 0 0) (layer F.SilkS) hide + (fp_text value LED (at 0 0 180) (layer F.SilkS) hide (effects (font (size 0.508 0.4572) (thickness 0.1143))) ) (fp_line (start -1.143 -0.635) (end 1.143 -0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 -0.635) (end 1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start 1.143 0.635) (end -1.143 0.635) (layer F.SilkS) (width 0.127)) (fp_line (start -1.143 0.635) (end -1.143 -0.635) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at -0.762 0) (size 0.635 1.143) + (pad 1 smd rect (at -0.762 0 180) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 16 N-000007) ) - (pad 2 smd rect (at 0.762 0) (size 0.635 1.143) + (pad 2 smd rect (at 0.762 0 180) (size 0.635 1.143) (layers F.Cu F.Paste F.Mask) (net 3 BUS_GND) ) @@ -876,15 +876,15 @@ ) ) - (module SIL-4 (layer F.Cu) (tedit 200000) (tstamp 555DDFC0) - (at 75.565 38.1) + (module SIL-4 (layer F.Cu) (tedit 555F28AD) (tstamp 555DDFC0) + (at 52.07 52.705 90) (descr "Connecteur 4 pibs") (tags "CONN DEV") (path /555DC8A6) - (fp_text reference U1 (at 0 -2.54) (layer F.SilkS) + (fp_text reference U1 (at 0 -2.54 90) (layer F.SilkS) (effects (font (size 1.73482 1.08712) (thickness 0.3048))) ) - (fp_text value SIM1-0505 (at 0 -2.54) (layer F.SilkS) hide + (fp_text value SIM1-0505 (at 0 -2.54 90) (layer F.SilkS) hide (effects (font (size 1.524 1.016) (thickness 0.3048))) ) (fp_line (start -5.08 -1.27) (end -5.08 -1.27) (layer F.SilkS) (width 0.3048)) @@ -894,32 +894,32 @@ (fp_line (start 5.08 -1.27) (end 5.08 1.27) (layer F.SilkS) (width 0.3048)) (fp_line (start 5.08 1.27) (end -5.08 1.27) (layer F.SilkS) (width 0.3048)) (fp_line (start -2.54 1.27) (end -2.54 -1.27) (layer F.SilkS) (width 0.3048)) - (pad 1 thru_hole rect (at -3.81 0) (size 1.397 1.397) (drill 0.8128) + (pad 1 thru_hole rect (at -3.81 0 90) (size 2 2) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) (net 4 GND) ) - (pad 2 thru_hole circle (at -1.27 0) (size 1.397 1.397) (drill 0.8128) + (pad 2 thru_hole circle (at -1.27 0 90) (size 2 2) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) (net 1 +5V) ) - (pad 3 thru_hole circle (at 1.27 0) (size 1.397 1.397) (drill 0.8128) + (pad 3 thru_hole circle (at 1.27 0 90) (size 2 2) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) (net 3 BUS_GND) ) - (pad 4 thru_hole circle (at 3.81 0) (size 1.397 1.397) (drill 0.8128) + (pad 4 thru_hole circle (at 3.81 0 90) (size 2 2) (drill 0.8128) (layers *.Cu *.Mask F.SilkS) (net 2 BUS_5V) ) ) (module c_elec_6.3x5.8 (layer F.Cu) (tedit 49F5C09D) (tstamp 555DDFD4) - (at 96.52 36.195) + (at 52.07 41.275 270) (descr "SMT capacitor, aluminium electrolytic, 6.3x5.8") (path /555DC2EA) - (fp_text reference C3 (at 0 -3.937) (layer F.SilkS) + (fp_text reference C3 (at 0 -3.937 270) (layer F.SilkS) (effects (font (size 0.50038 0.50038) (thickness 0.11938))) ) - (fp_text value 47uF (at 0 3.81) (layer F.SilkS) hide + (fp_text value 47uF (at 0 3.81 270) (layer F.SilkS) hide (effects (font (size 0.50038 0.50038) (thickness 0.11938))) ) (fp_line (start -2.921 -0.762) (end -2.921 0.762) (layer F.SilkS) (width 0.127)) @@ -936,11 +936,11 @@ (fp_line (start 2.54 -3.302) (end -3.302 -3.302) (layer F.SilkS) (width 0.127)) (fp_line (start 2.159 0) (end 1.397 0) (layer F.SilkS) (width 0.127)) (fp_line (start 1.778 -0.381) (end 1.778 0.381) (layer F.SilkS) (width 0.127)) - (pad 1 smd rect (at 2.75082 0) (size 3.59918 1.6002) + (pad 1 smd rect (at 2.75082 0 270) (size 3.59918 1.6002) (layers F.Cu F.Paste F.Mask) (net 2 BUS_5V) ) - (pad 2 smd rect (at -2.75082 0) (size 3.59918 1.6002) + (pad 2 smd rect (at -2.75082 0 270) (size 3.59918 1.6002) (layers F.Cu F.Paste F.Mask) (net 3 BUS_GND) ) @@ -951,8 +951,8 @@ ) ) - (module SO14E (layer F.Cu) (tedit 42806FBF) (tstamp 555DDF6B) - (at 40.005 59.055) + (module SO14E (layer F.Cu) (tedit 42806FBF) (tstamp 555EFF14) + (at 40.64 66.04) (descr "module CMS SOJ 14 pins etroit") (tags "CMS SOJ") (path /555DD9E4) @@ -1028,7 +1028,7 @@ ) (module sod323 (layer F.Cu) (tedit 4A7EAE1A) (tstamp 555DE3B3) - (at 120.015 62.23) + (at 45.085 36.83) (descr SOD323) (path /555DD07E) (fp_text reference D5 (at 0 -1.09982) (layer F.SilkS) @@ -1058,7 +1058,7 @@ ) (module sod323 (layer F.Cu) (tedit 4A7EAE1A) (tstamp 555DE3BE) - (at 120.015 59.055) + (at 45.085 34.925) (descr SOD323) (path /555DD095) (fp_text reference D7 (at 0 -1.09982) (layer F.SilkS) @@ -1088,7 +1088,7 @@ ) (module sod323 (layer F.Cu) (tedit 4A7EAE1A) (tstamp 555DE3C9) - (at 111.76 45.085) + (at 36.195 36.83) (descr SOD323) (path /555DD09B) (fp_text reference D6 (at 0 -1.09982) (layer F.SilkS) @@ -1118,7 +1118,7 @@ ) (module sod323 (layer F.Cu) (tedit 4A7EAE1A) (tstamp 555DE3D4) - (at 104.14 60.96) + (at 36.195 34.925) (descr SOD323) (path /555DD0A1) (fp_text reference D8 (at 0 -1.09982) (layer F.SilkS) @@ -1147,72 +1147,36 @@ ) ) - (module mfp_4 (layer F.Cu) (tedit 5300D4C3) (tstamp 555E2097) - (at 36.195 50.165 180) + (module mfsop6 (layer F.Cu) (tedit 555F12B0) (tstamp 555F1332) + (at 39.37 52.705) (descr "Mini flat package, 4 pin") (path /555DB3D8) - (fp_text reference IC3 (at 0 -5 180) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.2))) - ) - (fp_text value LTV-352T (at 0 5.1 180) (layer F.SilkS) - (effects (font (size 1 1) (thickness 0.2))) - ) - (fp_circle (center -0.6 1.5) (end -0.8 1.7) (layer F.SilkS) (width 0.254)) - (fp_line (start 1.3 -2.2) (end -1.3 -2.2) (layer F.SilkS) (width 0.254)) - (fp_line (start -1.3 -2.2) (end -1.3 2.2) (layer F.SilkS) (width 0.254)) - (fp_line (start -1.3 2.2) (end 1.3 2.2) (layer F.SilkS) (width 0.254)) - (fp_line (start 1.3 2.2) (end 1.3 -2.2) (layer F.SilkS) (width 0.254)) - (pad 1 smd rect (at -0.635 3.175 180) (size 0.61 1.52) - (layers F.Cu F.Paste F.Mask) - (net 13 N-000004) - ) - (pad 2 smd rect (at 0.635 3.175 180) (size 0.61 1.52) - (layers F.Cu F.Paste F.Mask) - ) - (pad 3 smd rect (at 0.635 -3.175 180) (size 0.61 1.52) - (layers F.Cu F.Paste F.Mask) - (net 19 TXD) - ) - (pad 4 smd rect (at -0.635 -3.175 180) (size 0.61 1.52) - (layers F.Cu F.Paste F.Mask) - (net 3 BUS_GND) - ) - (model walter/smd_dil/mfp-4.wrl - (at (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (module mfp_4 (layer F.Cu) (tedit 5300D4C3) (tstamp 555E20A4) - (at 101.6 81.915) - (descr "Mini flat package, 4 pin") - (path /555DB3E7) - (fp_text reference IC2 (at 0 -5) (layer F.SilkS) + (fp_text reference IC3 (at 0 -5) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.2))) ) (fp_text value LTV-352T (at 0 5.1) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.2))) ) - (fp_circle (center -0.6 1.5) (end -0.8 1.7) (layer F.SilkS) (width 0.254)) - (fp_line (start 1.3 -2.2) (end -1.3 -2.2) (layer F.SilkS) (width 0.254)) - (fp_line (start -1.3 -2.2) (end -1.3 2.2) (layer F.SilkS) (width 0.254)) - (fp_line (start -1.3 2.2) (end 1.3 2.2) (layer F.SilkS) (width 0.254)) - (fp_line (start 1.3 2.2) (end 1.3 -2.2) (layer F.SilkS) (width 0.254)) - (pad 1 smd rect (at -0.635 3.175) (size 0.61 1.52) + (fp_line (start -1.905 1.905) (end -1.905 -1.905) (layer F.SilkS) (width 0.25)) + (fp_line (start -1.905 -1.905) (end 1.905 -1.905) (layer F.SilkS) (width 0.25)) + (fp_line (start 1.905 -1.905) (end 1.905 1.905) (layer F.SilkS) (width 0.25)) + (fp_line (start 1.905 1.905) (end -1.905 1.905) (layer F.SilkS) (width 0.25)) + (fp_circle (center -1.235 1.5) (end -1.435 1.7) (layer F.SilkS) (width 0.25)) + (pad 1 smd rect (at -1.27 3.175) (size 0.61 1.52) (layers F.Cu F.Paste F.Mask) - (net 8 N-0000023) + (net 13 N-000004) ) - (pad 2 smd rect (at 0.635 3.175) (size 0.61 1.52) + (pad 3 smd rect (at 1.27 3.175) (size 0.61 1.52) (layers F.Cu F.Paste F.Mask) + (net 19 TXD) ) - (pad 3 smd rect (at 0.635 -3.175) (size 0.61 1.52) + (pad 4 smd rect (at 1.27 -3.175) (size 0.61 1.52) (layers F.Cu F.Paste F.Mask) - (net 7 N-0000022) + (net 3 BUS_GND) ) - (pad 4 smd rect (at -0.635 -3.175) (size 0.61 1.52) + (pad 6 smd rect (at -1.27 -3.175) (size 0.61 1.52) (layers F.Cu F.Paste F.Mask) - (net 4 GND) + (net 6 N-0000021) ) (model walter/smd_dil/mfp-4.wrl (at (xyz 0 0 0)) @@ -1221,27 +1185,920 @@ ) ) - (segment (start 48.26 55.88) (end 48.26 43.39082) (width 0.75) (layer F.Cu) (net 1)) - (segment (start 48.26 43.39082) (end 48.04918 43.18) (width 0.75) (layer F.Cu) (net 1) (tstamp 5557D610)) - (segment (start 27.94 38.735) (end 27.94 36.83) (width 0.75) (layer F.Cu) (net 9)) - (segment (start 28.575 36.195) (end 29.718 36.195) (width 0.75) (layer F.Cu) (net 9) (tstamp 5557D599)) - (segment (start 27.94 36.83) (end 28.575 36.195) (width 0.75) (layer F.Cu) (net 9) (tstamp 5557D598)) - (segment (start 35.56 36.195) (end 35.56 29.845) (width 0.75) (layer F.Cu) (net 10) (status 20)) - (segment (start 40.64 40.513) (end 40.64 38.1) (width 0.75) (layer F.Cu) (net 10)) - (segment (start 38.735 36.195) (end 40.64 38.1) (width 0.75) (layer F.Cu) (net 10) (tstamp 5557D58F)) - (segment (start 38.735 36.195) (end 35.56 36.195) (width 0.75) (layer F.Cu) (net 10)) - (segment (start 35.56 36.195) (end 31.242 36.195) (width 0.75) (layer F.Cu) (net 10) (tstamp 5557D594)) - (via (at 30.48 38.735) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 11)) - (segment (start 30.48 38.735) (end 41.91 38.735) (width 0.75) (layer B.Cu) (net 11) (tstamp 5557D59D)) - (via (at 41.91 38.735) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 11)) - (segment (start 41.91 40.513) (end 41.91 38.735) (width 0.75) (layer F.Cu) (net 11)) - (segment (start 41.91 38.735) (end 41.91 35.56) (width 0.75) (layer F.Cu) (net 11) (tstamp 5557D2B3)) - (segment (start 40.64 34.29) (end 40.64 29.845) (width 0.75) (layer F.Cu) (net 11) (tstamp 5557D2AA) (status 20)) - (segment (start 41.91 35.56) (end 40.64 34.29) (width 0.75) (layer F.Cu) (net 11) (tstamp 5557D2A9)) - (segment (start 38.735 38.735) (end 37.465 38.735) (width 0.75) (layer F.Cu) (net 12)) - (segment (start 36.83 39.37) (end 37.465 38.735) (width 0.75) (layer F.Cu) (net 12) (tstamp 5557D585)) - (segment (start 36.83 41.148) (end 36.83 39.37) (width 0.75) (layer F.Cu) (net 12)) - (segment (start 39.37 39.37) (end 38.735 38.735) (width 0.75) (layer F.Cu) (net 12) (tstamp 5557D588)) - (segment (start 39.37 39.37) (end 39.37 40.513) (width 0.75) (layer F.Cu) (net 12)) + (module mfsop6 (layer F.Cu) (tedit 555F12B0) (tstamp 555F133F) + (at 45.72 52.705 180) + (descr "Mini flat package, 4 pin") + (path /555DB3E7) + (fp_text reference IC2 (at 0 -5 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (fp_text value LTV-352T (at 0 5.1 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.2))) + ) + (fp_line (start -1.905 1.905) (end -1.905 -1.905) (layer F.SilkS) (width 0.25)) + (fp_line (start -1.905 -1.905) (end 1.905 -1.905) (layer F.SilkS) (width 0.25)) + (fp_line (start 1.905 -1.905) (end 1.905 1.905) (layer F.SilkS) (width 0.25)) + (fp_line (start 1.905 1.905) (end -1.905 1.905) (layer F.SilkS) (width 0.25)) + (fp_circle (center -1.235 1.5) (end -1.435 1.7) (layer F.SilkS) (width 0.25)) + (pad 1 smd rect (at -1.27 3.175 180) (size 0.61 1.52) + (layers F.Cu F.Paste F.Mask) + (net 8 N-0000023) + ) + (pad 3 smd rect (at 1.27 3.175 180) (size 0.61 1.52) + (layers F.Cu F.Paste F.Mask) + (net 7 N-0000022) + ) + (pad 4 smd rect (at 1.27 -3.175 180) (size 0.61 1.52) + (layers F.Cu F.Paste F.Mask) + (net 4 GND) + ) + (pad 6 smd rect (at -1.27 -3.175 180) (size 0.61 1.52) + (layers F.Cu F.Paste F.Mask) + (net 17 RXD) + ) + (model walter/smd_dil/mfp-4.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + (gr_line (start 24.13 52.705) (end 57.15 52.705) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 53.975 52.705) (end 57.15 52.705) (angle 90) (layer F.SilkS) (width 0.2)) + (gr_line (start 48.26 52.705) (end 50.165 52.705) (angle 90) (layer F.SilkS) (width 0.2)) + (gr_line (start 41.91 52.705) (end 43.18 52.705) (angle 90) (layer F.SilkS) (width 0.2)) + (gr_line (start 24.13 52.705) (end 36.195 52.705) (angle 90) (layer F.SilkS) (width 0.2)) + (gr_line (start 53.34 25.4) (end 27.94 25.4) (angle 90) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 26.67 79.375) (end 53.975 79.375) (angle 90) (layer Edge.Cuts) (width 0.1)) + (gr_line (start 23.495 74.93) (end 23.495 28.575) (angle 90) (layer Edge.Cuts) (width 0.1)) + (gr_arc (start 27.305 75.565) (end 26.67 79.375) (angle 90) (layer Edge.Cuts) (width 0.1)) + (dimension 13.335 (width 0.3) (layer Cmts.User) + (gr_text "13.335 mm" (at 33.9725 17.700001) (layer Cmts.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 40.64 29.21) (xy 40.64 16.350001))) + (feature2 (pts (xy 27.305 29.21) (xy 27.305 16.350001))) + (crossbar (pts (xy 27.305 19.050001) (xy 40.64 19.050001))) + (arrow1a (pts (xy 40.64 19.050001) (xy 39.513497 19.636421))) + (arrow1b (pts (xy 40.64 19.050001) (xy 39.513497 18.463581))) + (arrow2a (pts (xy 27.305 19.050001) (xy 28.431503 19.636421))) + (arrow2b (pts (xy 27.305 19.050001) (xy 28.431503 18.463581))) + ) + (gr_line (start 57.785 75.565) (end 57.785 28.575) (angle 90) (layer Edge.Cuts) (width 0.1)) + (gr_arc (start 53.975 75.565) (end 57.785 75.565) (angle 90) (layer Edge.Cuts) (width 0.1)) + (gr_arc (start 53.975 29.21) (end 53.34 25.4) (angle 90) (layer Edge.Cuts) (width 0.1)) + (gr_arc (start 27.305 29.21) (end 23.495 28.575) (angle 90) (layer Edge.Cuts) (width 0.1)) + (dimension 13.335 (width 0.3) (layer Cmts.User) + (gr_text "13.335 mm" (at 47.3075 17.700001) (layer Cmts.User) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (feature1 (pts (xy 53.975 29.21) (xy 53.975 16.350001))) + (feature2 (pts (xy 40.64 29.21) (xy 40.64 16.350001))) + (crossbar (pts (xy 40.64 19.050001) (xy 53.975 19.050001))) + (arrow1a (pts (xy 53.975 19.050001) (xy 52.848497 19.636421))) + (arrow1b (pts (xy 53.975 19.050001) (xy 52.848497 18.463581))) + (arrow2a (pts (xy 40.64 19.050001) (xy 41.766503 19.636421))) + (arrow2b (pts (xy 40.64 19.050001) (xy 41.766503 18.463581))) + ) + + (segment (start 50.292 59.055) (end 54.61 59.055) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 38.1 59.817) (end 38.1 60.325) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 36.83 61.595) (end 36.83 62.23) (width 0.5) (layer F.Cu) (net 1) (tstamp 555F203E)) + (segment (start 38.1 60.325) (end 36.83 61.595) (width 0.5) (layer F.Cu) (net 1) (tstamp 555F203C)) + (via (at 36.83 62.23) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 1)) + (via (at 54.61 62.23) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 1)) + (segment (start 36.83 62.23) (end 54.61 62.23) (width 0.5) (layer B.Cu) (net 1) (tstamp 555F1FEF)) + (segment (start 27.178 59.055) (end 27.178 57.15) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 27.178 60.96) (end 27.178 59.055) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 27.305 62.23) (end 27.305 61.087) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 27.94 62.865) (end 27.305 62.23) (width 0.5) (layer F.Cu) (net 1) (tstamp 555F1FD8)) + (segment (start 36.83 62.23) (end 34.925 62.23) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 34.925 62.23) (end 34.29 62.865) (width 0.5) (layer F.Cu) (net 1) (tstamp 555F1FD0)) + (segment (start 34.29 62.865) (end 27.94 62.865) (width 0.5) (layer F.Cu) (net 1)) + (segment (start 27.305 61.087) (end 27.178 60.96) (width 0.5) (layer F.Cu) (net 1) (tstamp 555F1FDB)) + (segment (start 36.83 62.23) (end 36.83 63.5) (width 0.5) (layer F.Cu) (net 1) (tstamp 555F1FC8)) + (segment (start 48.26 71.755) (end 46.99 70.485) (width 1) (layer F.Cu) (net 1)) + (segment (start 46.99 70.485) (end 46.99 69.342) (width 1) (layer F.Cu) (net 1) (tstamp 555F1EF9)) + (segment (start 54.61 71.12) (end 54.61 62.23) (width 1) (layer F.Cu) (net 1)) + (segment (start 52.07 71.755) (end 53.975 71.755) (width 1) (layer F.Cu) (net 1)) + (segment (start 53.975 71.755) (end 54.61 71.12) (width 1) (layer F.Cu) (net 1) (tstamp 555F1E24)) + (segment (start 54.61 62.23) (end 54.61 59.055) (width 1) (layer F.Cu) (net 1) (tstamp 555F1FF4)) + (segment (start 54.61 59.055) (end 54.61 55.245) (width 1) (layer F.Cu) (net 1) (tstamp 555F2185)) + (segment (start 54.61 55.245) (end 53.34 53.975) (width 1) (layer F.Cu) (net 1) (tstamp 555F1E2A)) + (segment (start 53.34 53.975) (end 52.07 53.975) (width 1) (layer F.Cu) (net 1) (tstamp 555F1E2D)) + (segment (start 48.26 75.565) (end 48.26 73.025) (width 1) (layer F.Cu) (net 1)) + (segment (start 52.07 71.12) (end 52.07 68.15582) (width 1) (layer F.Cu) (net 1) (tstamp 555F1DD5)) + (segment (start 52.07 71.755) (end 52.07 71.12) (width 1) (layer F.Cu) (net 1) (tstamp 555F1DD3)) + (segment (start 48.26 71.755) (end 52.07 71.755) (width 1) (layer F.Cu) (net 1) (tstamp 555F1DD2)) + (segment (start 48.26 73.025) (end 48.26 71.755) (width 1) (layer F.Cu) (net 1) (tstamp 555F1DCF)) + (segment (start 45.085 44.02582) (end 45.085 39.37) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 46.18482 38.27018) (end 46.18482 36.83) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F20C4)) + (segment (start 45.085 39.37) (end 46.18482 38.27018) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F20C3)) + (segment (start 46.18482 34.925) (end 46.18482 36.83) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 37.465 44.02582) (end 34.71418 44.02582) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 34.29 44.45) (end 31.877 44.45) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F1E9A)) + (segment (start 34.71418 44.02582) (end 34.29 44.45) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F1E99)) + (segment (start 42.33418 44.02582) (end 37.465 44.02582) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 37.465 44.02582) (end 37.25418 44.02582) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F1E97)) + (segment (start 36.83 44.45) (end 36.83 45.593) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F1990)) + (segment (start 37.25418 44.02582) (end 36.83 44.45) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F198E)) + (segment (start 46.99 44.02582) (end 52.07 44.02582) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F1455) (status 20)) + (segment (start 41.91 45.847) (end 41.91 44.45) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 42.545 44.02582) (end 45.085 44.02582) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F154A)) + (segment (start 45.085 44.02582) (end 46.99 44.02582) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F20C1)) + (segment (start 42.33418 44.02582) (end 42.545 44.02582) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F1438)) + (segment (start 41.91 44.45) (end 42.33418 44.02582) (width 0.5) (layer F.Cu) (net 2) (tstamp 555F1437)) + (segment (start 46.99 45.593) (end 46.99 44.02582) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 46.99 44.02582) (end 46.99 42.672) (width 0.5) (layer F.Cu) (net 2)) + (segment (start 52.07 48.895) (end 52.07 44.02582) (width 1) (layer F.Cu) (net 2) (status 10)) + (segment (start 28.702 57.15) (end 30.353 57.15) (width 0.5) (layer F.Cu) (net 5)) + (segment (start 38.1 48.26) (end 37.465 48.26) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 36.83 47.625) (end 36.83 47.117) (width 0.5) (layer F.Cu) (net 6) (tstamp 555F19A2)) + (segment (start 37.465 48.26) (end 36.83 47.625) (width 0.5) (layer F.Cu) (net 6) (tstamp 555F19A0)) + (segment (start 39.37 45.847) (end 39.37 46.99) (width 0.5) (layer F.Cu) (net 6)) + (segment (start 38.1 48.26) (end 38.1 49.53) (width 0.5) (layer F.Cu) (net 6) (tstamp 555F1995)) + (segment (start 39.37 46.99) (end 38.1 48.26) (width 0.5) (layer F.Cu) (net 6) (tstamp 555F1994)) + (segment (start 43.18 45.847) (end 43.18 46.99) (width 0.5) (layer F.Cu) (net 7)) + (segment (start 44.45 48.26) (end 44.45 49.53) (width 0.5) (layer F.Cu) (net 7) (tstamp 555F13B9)) + (segment (start 43.18 46.99) (end 44.45 48.26) (width 0.5) (layer F.Cu) (net 7) (tstamp 555F13B8)) + (segment (start 46.99 49.53) (end 46.99 47.117) (width 0.5) (layer F.Cu) (net 8)) + (segment (start 31.75 35.687) (end 31.75 38.735) (width 0.5) (layer F.Cu) (net 9)) + (segment (start 35.56 32.385) (end 35.56 29.21) (width 0.5) (layer F.Cu) (net 10)) + (segment (start 37.465 32.385) (end 38.735 32.385) (width 0.5) (layer F.Cu) (net 10)) + (segment (start 40.005 33.655) (end 40.005 34.925) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F1A0C)) + (segment (start 38.735 32.385) (end 40.005 33.655) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F1A0B)) + (segment (start 31.75 33.02) (end 31.75 34.163) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F1A05)) + (segment (start 32.385 32.385) (end 31.75 33.02) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F1A02)) + (segment (start 37.465 32.385) (end 35.56 32.385) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F1A01)) + (segment (start 35.56 32.385) (end 32.385 32.385) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F1B2E)) + (segment (start 40.005 38.1) (end 40.005 38.735) (width 0.5) (layer F.Cu) (net 10)) + (segment (start 40.005 38.735) (end 40.64 39.37) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F19C0)) + (segment (start 40.64 39.37) (end 40.64 40.513) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F19C1)) + (segment (start 40.005 34.925) (end 40.005 38.1) (width 0.5) (layer F.Cu) (net 10)) + (segment (start 40.005 34.925) (end 40.005 34.925) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F1791)) + (via (at 40.005 34.925) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 10)) + (segment (start 42.545 34.925) (end 40.005 34.925) (width 0.5) (layer B.Cu) (net 10) (tstamp 555F176D)) + (via (at 42.545 34.925) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 10)) + (segment (start 43.98518 34.925) (end 42.545 34.925) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F1770) (status 10)) + (segment (start 37.29482 34.925) (end 40.005 34.925) (width 0.5) (layer F.Cu) (net 10) (status 10)) + (segment (start 40.005 34.925) (end 40.17518 34.925) (width 0.5) (layer F.Cu) (net 10) (tstamp 555F176A) (status 10)) + (segment (start 41.275 36.83) (end 41.275 32.385) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 40.64 31.75) (end 40.64 29.21) (width 0.5) (layer F.Cu) (net 11) (tstamp 555F1B33)) + (segment (start 41.275 32.385) (end 40.64 31.75) (width 0.5) (layer F.Cu) (net 11) (tstamp 555F1B32)) + (segment (start 31.75 41.275) (end 37.465 41.275) (width 0.5) (layer B.Cu) (net 11)) + (segment (start 38.735 40.005) (end 38.735 36.83) (width 0.5) (layer B.Cu) (net 11) (tstamp 555F1A1E)) + (segment (start 37.465 41.275) (end 38.735 40.005) (width 0.5) (layer B.Cu) (net 11) (tstamp 555F1A1A)) + (segment (start 41.275 38.1) (end 41.275 38.735) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 41.275 36.83) (end 41.275 38.1) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 41.91 39.37) (end 41.91 40.513) (width 0.5) (layer F.Cu) (net 11) (tstamp 555F19C5)) + (segment (start 41.275 38.735) (end 41.91 39.37) (width 0.5) (layer F.Cu) (net 11) (tstamp 555F19C4)) + (segment (start 37.29482 36.83) (end 38.735 36.83) (width 0.5) (layer F.Cu) (net 11) (status 10)) + (segment (start 42.545 36.83) (end 43.815 36.83) (width 0.5) (layer F.Cu) (net 11) (tstamp 555F171D) (status 20)) + (segment (start 41.10482 36.83) (end 42.545 36.83) (width 0.5) (layer F.Cu) (net 11)) + (segment (start 41.275 36.83) (end 41.10482 36.83) (width 0.5) (layer F.Cu) (net 11) (tstamp 555F1778)) + (via (at 41.275 36.83) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 11)) + (segment (start 38.735 36.83) (end 41.275 36.83) (width 0.5) (layer B.Cu) (net 11) (tstamp 555F1775)) + (via (at 38.735 36.83) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 11)) + (segment (start 39.37 40.513) (end 39.37 39.37) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 36.83 39.37) (end 36.83 40.64) (width 0.5) (layer F.Cu) (net 12) (tstamp 555F19B5)) + (segment (start 37.465 38.735) (end 36.83 39.37) (width 0.5) (layer F.Cu) (net 12) (tstamp 555F19B3)) + (segment (start 38.735 38.735) (end 37.465 38.735) (width 0.5) (layer F.Cu) (net 12) (tstamp 555F19B2)) + (segment (start 39.37 39.37) (end 38.735 38.735) (width 0.5) (layer F.Cu) (net 12) (tstamp 555F19B1)) + (segment (start 36.83 41.148) (end 36.83 40.64) (width 0.5) (layer F.Cu) (net 12)) + (segment (start 38.1 58.293) (end 38.1 55.88) (width 0.5) (layer F.Cu) (net 13)) + (segment (start 28.702 59.055) (end 30.353 59.055) (width 0.5) (layer F.Cu) (net 14)) + (segment (start 28.702 60.96) (end 30.353 60.96) (width 0.5) (layer F.Cu) (net 15)) + (segment (start 30.353 44.45) (end 28.702 44.45) (width 0.5) (layer F.Cu) (net 16)) + (segment (start 46.99 59.055) (end 48.768 59.055) (width 0.5) (layer F.Cu) (net 17)) + (segment (start 31.877 59.055) (end 33.655 59.055) (width 0.5) (layer F.Cu) (net 17)) + (via (at 46.99 59.055) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 17)) + (segment (start 33.655 59.055) (end 46.99 59.055) (width 0.5) (layer B.Cu) (net 17) (tstamp 555F200A)) + (via (at 33.655 59.055) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 17)) + (segment (start 46.99 59.69) (end 46.99 60.325) (width 0.5) (layer F.Cu) (net 17)) + (segment (start 46.99 60.325) (end 46.99 65.405) (width 0.5) (layer F.Cu) (net 17) (tstamp 555F1C97)) + (segment (start 41.91 67.31) (end 41.91 68.834) (width 0.5) (layer F.Cu) (net 17) (tstamp 555F1C67)) + (segment (start 42.545 66.675) (end 41.91 67.31) (width 0.5) (layer F.Cu) (net 17) (tstamp 555F1C66)) + (segment (start 45.72 66.675) (end 42.545 66.675) (width 0.5) (layer F.Cu) (net 17) (tstamp 555F1C65)) + (segment (start 46.99 65.405) (end 45.72 66.675) (width 0.5) (layer F.Cu) (net 17) (tstamp 555F1C63)) + (segment (start 46.99 55.88) (end 46.99 59.055) (width 0.5) (layer F.Cu) (net 17)) + (segment (start 46.99 59.055) (end 46.99 59.69) (width 0.5) (layer F.Cu) (net 17) (tstamp 555F1C15)) + (segment (start 43.18 68.834) (end 43.18 75.565) (width 0.5) (layer F.Cu) (net 18)) + (segment (start 31.877 60.96) (end 33.655 60.96) (width 0.5) (layer F.Cu) (net 19)) + (via (at 45.72 60.96) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 19)) + (segment (start 33.655 60.96) (end 45.72 60.96) (width 0.5) (layer B.Cu) (net 19) (tstamp 555F2048)) + (via (at 33.655 60.96) (size 0.8) (drill 0.25) (layers F.Cu B.Cu) (net 19)) + (segment (start 45.72 64.77) (end 45.72 60.96) (width 0.5) (layer F.Cu) (net 19)) + (segment (start 45.72 60.96) (end 45.72 59.69) (width 0.5) (layer F.Cu) (net 19) (tstamp 555F204D)) + (segment (start 39.37 68.834) (end 39.37 66.675) (width 0.5) (layer F.Cu) (net 19)) + (segment (start 40.64 65.405) (end 45.085 65.405) (width 0.5) (layer F.Cu) (net 19) (tstamp 555F1C70)) + (segment (start 39.37 66.675) (end 40.64 65.405) (width 0.5) (layer F.Cu) (net 19) (tstamp 555F1C6F)) + (segment (start 45.72 64.77) (end 45.085 65.405) (width 0.5) (layer F.Cu) (net 19)) + (segment (start 40.64 58.42) (end 40.64 55.88) (width 0.5) (layer F.Cu) (net 19) (tstamp 555F1CA4)) + (segment (start 41.275 59.055) (end 40.64 58.42) (width 0.5) (layer F.Cu) (net 19) (tstamp 555F1CA1)) + (segment (start 45.085 59.055) (end 41.275 59.055) (width 0.5) (layer F.Cu) (net 19) (tstamp 555F1CA0)) + (segment (start 45.72 59.69) (end 45.085 59.055) (width 0.5) (layer F.Cu) (net 19) (tstamp 555F1C9D)) + (segment (start 38.1 75.565) (end 38.1 68.834) (width 0.5) (layer F.Cu) (net 20)) + + (zone (net 3) (net_name BUS_GND) (layer F.Cu) (tstamp 555F206F) (hatch edge 0.508) + (connect_pads (clearance 0.17)) + (min_thickness 0.17) + (fill (arc_segments 16) (thermal_gap 0.17) (thermal_bridge_width 2)) + (polygon + (pts + (xy 23.495 25.4) (xy 23.495 52.07) (xy 57.785 52.07) (xy 57.785 25.4) + ) + ) + (filled_polygon + (pts + (xy 57.48 51.985) (xy 56.564448 51.985) (xy 56.564448 28.697276) (xy 56.171127 27.745366) (xy 55.443465 27.016433) + (xy 54.492243 26.621451) (xy 53.462276 26.620552) (xy 52.510366 27.013873) (xy 51.781433 27.741535) (xy 51.386451 28.692757) + (xy 51.385552 29.722724) (xy 51.778873 30.674634) (xy 52.506535 31.403567) (xy 53.457757 31.798549) (xy 54.487724 31.799448) + (xy 55.439634 31.406127) (xy 56.168567 30.678465) (xy 56.563549 29.727243) (xy 56.564448 28.697276) (xy 56.564448 51.985) + (xy 53.409231 51.985) (xy 53.332032 51.985) (xy 53.327253 51.985) (xy 53.332032 51.980221) (xy 53.409231 51.903022) + (xy 53.620631 51.691622) (xy 53.409231 51.480222) (xy 53.409231 48.629825) (xy 53.20581 48.137509) (xy 52.909 47.840179) + (xy 52.909 46.164468) (xy 52.937235 46.164468) (xy 53.061877 46.112967) (xy 53.157322 46.017689) (xy 53.20904 45.893137) + (xy 53.209158 45.758275) (xy 53.209158 42.159095) (xy 53.157657 42.034453) (xy 53.125143 42.001882) (xy 53.125143 40.37427) + (xy 53.125143 36.67409) (xy 53.086403 36.580333) (xy 53.014734 36.508538) (xy 52.921045 36.469635) (xy 52.8196 36.469547) + (xy 52.5338 36.46959) (xy 52.47005 36.53334) (xy 52.47005 37.624385) (xy 53.06135 37.624385) (xy 53.1251 37.560635) + (xy 53.125143 36.67409) (xy 53.125143 40.37427) (xy 53.1251 39.487725) (xy 53.06135 39.423975) (xy 52.47005 39.423975) + (xy 52.47005 40.51502) (xy 52.5338 40.57877) (xy 52.8196 40.578813) (xy 52.921045 40.578725) (xy 53.014734 40.539822) + (xy 53.086403 40.468027) (xy 53.125143 40.37427) (xy 53.125143 42.001882) (xy 53.062379 41.939008) (xy 52.937827 41.88729) + (xy 52.802965 41.887172) (xy 51.66995 41.887172) (xy 51.66995 40.51502) (xy 51.66995 39.423975) (xy 51.66995 37.624385) + (xy 51.66995 36.53334) (xy 51.6062 36.46959) (xy 51.3204 36.469547) (xy 51.218955 36.469635) (xy 51.125266 36.508538) + (xy 51.053597 36.580333) (xy 51.014857 36.67409) (xy 51.0149 37.560635) (xy 51.07865 37.624385) (xy 51.66995 37.624385) + (xy 51.66995 39.423975) (xy 51.07865 39.423975) (xy 51.0149 39.487725) (xy 51.014857 40.37427) (xy 51.053597 40.468027) + (xy 51.125266 40.539822) (xy 51.218955 40.578725) (xy 51.3204 40.578813) (xy 51.6062 40.57877) (xy 51.66995 40.51502) + (xy 51.66995 41.887172) (xy 51.202765 41.887172) (xy 51.078123 41.938673) (xy 50.982678 42.033951) (xy 50.93096 42.158503) + (xy 50.930842 42.293365) (xy 50.930842 43.43682) (xy 47.579 43.43682) (xy 47.579 43.328558) (xy 47.628635 43.328558) + (xy 47.753277 43.277057) (xy 47.848722 43.181779) (xy 47.90044 43.057227) (xy 47.900558 42.922365) (xy 47.900558 42.287365) + (xy 47.849057 42.162723) (xy 47.816543 42.130152) (xy 47.816543 41.516) (xy 47.816543 40.78) (xy 47.777803 40.686243) + (xy 47.706134 40.614448) (xy 47.612445 40.575545) (xy 47.511 40.575457) (xy 47.3395 40.5755) (xy 47.27575 40.63925) + (xy 47.27575 40.98925) (xy 47.75275 40.98925) (xy 47.8165 40.9255) (xy 47.816543 40.78) (xy 47.816543 41.516) + (xy 47.8165 41.3705) (xy 47.75275 41.30675) (xy 47.27575 41.30675) (xy 47.27575 41.65675) (xy 47.3395 41.7205) + (xy 47.511 41.720543) (xy 47.612445 41.720455) (xy 47.706134 41.681552) (xy 47.777803 41.609757) (xy 47.816543 41.516) + (xy 47.816543 42.130152) (xy 47.753779 42.067278) (xy 47.629227 42.01556) (xy 47.494365 42.015442) (xy 47.245043 42.015442) + (xy 47.245043 30.4295) (xy 47.245043 27.9905) (xy 47.244955 27.889055) (xy 47.206052 27.795366) (xy 47.134257 27.723697) + (xy 47.0405 27.684957) (xy 46.41875 27.685) (xy 46.355 27.74875) (xy 46.355 28.575) (xy 47.18125 28.575) + (xy 47.245 28.51125) (xy 47.245043 27.9905) (xy 47.245043 30.4295) (xy 47.245 29.90875) (xy 47.18125 29.845) + (xy 46.355 29.845) (xy 46.355 30.67125) (xy 46.41875 30.735) (xy 47.0405 30.735043) (xy 47.134257 30.696303) + (xy 47.206052 30.624634) (xy 47.244955 30.530945) (xy 47.245043 30.4295) (xy 47.245043 42.015442) (xy 46.70425 42.015442) + (xy 46.70425 41.65675) (xy 46.70425 41.30675) (xy 46.70425 40.98925) (xy 46.70425 40.63925) (xy 46.6405 40.5755) + (xy 46.469 40.575457) (xy 46.367555 40.575545) (xy 46.273866 40.614448) (xy 46.202197 40.686243) (xy 46.163457 40.78) + (xy 46.1635 40.9255) (xy 46.22725 40.98925) (xy 46.70425 40.98925) (xy 46.70425 41.30675) (xy 46.22725 41.30675) + (xy 46.1635 41.3705) (xy 46.163457 41.516) (xy 46.202197 41.609757) (xy 46.273866 41.681552) (xy 46.367555 41.720455) + (xy 46.469 41.720543) (xy 46.6405 41.7205) (xy 46.70425 41.65675) (xy 46.70425 42.015442) (xy 46.351365 42.015442) + (xy 46.226723 42.066943) (xy 46.131278 42.162221) (xy 46.07956 42.286773) (xy 46.079442 42.421635) (xy 46.079442 43.056635) + (xy 46.130943 43.181277) (xy 46.226221 43.276722) (xy 46.350773 43.32844) (xy 46.401 43.328483) (xy 46.401 43.43682) + (xy 45.674 43.43682) (xy 45.674 39.613972) (xy 46.601306 38.686666) (xy 46.728985 38.495581) (xy 46.728985 38.49558) + (xy 46.77382 38.27018) (xy 46.77382 37.4599) (xy 46.876977 37.417277) (xy 46.972422 37.321999) (xy 47.02414 37.197447) + (xy 47.024258 37.062585) (xy 47.024258 36.463145) (xy 46.972757 36.338503) (xy 46.877479 36.243058) (xy 46.77382 36.200015) + (xy 46.77382 35.5549) (xy 46.876977 35.512277) (xy 46.972422 35.416999) (xy 47.02414 35.292447) (xy 47.024258 35.157585) + (xy 47.024258 34.558145) (xy 46.972757 34.433503) (xy 46.877479 34.338058) (xy 46.752927 34.28634) (xy 46.618065 34.286222) + (xy 45.617305 34.286222) (xy 45.492663 34.337723) (xy 45.397218 34.433001) (xy 45.3455 34.557553) (xy 45.345382 34.692415) + (xy 45.345382 35.291855) (xy 45.396883 35.416497) (xy 45.492161 35.511942) (xy 45.59582 35.554984) (xy 45.59582 36.200099) + (xy 45.492663 36.242723) (xy 45.397218 36.338001) (xy 45.3455 36.462553) (xy 45.345382 36.597415) (xy 45.345382 37.196855) + (xy 45.396883 37.321497) (xy 45.492161 37.416942) (xy 45.59582 37.459984) (xy 45.59582 38.026208) (xy 45.085 38.537028) + (xy 45.085 30.67125) (xy 45.085 29.845) (xy 45.085 28.575) (xy 45.085 27.74875) (xy 45.02125 27.685) + (xy 44.3995 27.684957) (xy 44.305743 27.723697) (xy 44.233948 27.795366) (xy 44.195045 27.889055) (xy 44.194957 27.9905) + (xy 44.195 28.51125) (xy 44.25875 28.575) (xy 45.085 28.575) (xy 45.085 29.845) (xy 44.25875 29.845) + (xy 44.195 29.90875) (xy 44.194957 30.4295) (xy 44.195045 30.530945) (xy 44.233948 30.624634) (xy 44.305743 30.696303) + (xy 44.3995 30.735043) (xy 45.02125 30.735) (xy 45.085 30.67125) (xy 45.085 38.537028) (xy 44.824618 38.79741) + (xy 44.824618 37.062585) (xy 44.824618 36.463145) (xy 44.773117 36.338503) (xy 44.677839 36.243058) (xy 44.553287 36.19134) + (xy 44.418425 36.191222) (xy 43.417665 36.191222) (xy 43.297192 36.241) (xy 42.545 36.241) (xy 41.864 36.241) + (xy 41.864 35.212031) (xy 41.918141 35.343063) (xy 42.125843 35.551129) (xy 42.397359 35.663872) (xy 42.691351 35.664128) + (xy 42.963063 35.551859) (xy 43.000988 35.514) (xy 43.297477 35.514) (xy 43.417073 35.56366) (xy 43.551935 35.563778) + (xy 44.552695 35.563778) (xy 44.677337 35.512277) (xy 44.772782 35.416999) (xy 44.8245 35.292447) (xy 44.824618 35.157585) + (xy 44.824618 34.558145) (xy 44.773117 34.433503) (xy 44.677839 34.338058) (xy 44.553287 34.28634) (xy 44.418425 34.286222) + (xy 43.417665 34.286222) (xy 43.297192 34.336) (xy 43.001221 34.336) (xy 42.964157 34.298871) (xy 42.692641 34.186128) + (xy 42.398649 34.185872) (xy 42.126937 34.298141) (xy 41.918871 34.505843) (xy 41.864 34.637987) (xy 41.864 32.385) + (xy 41.819165 32.159599) (xy 41.691486 31.968514) (xy 41.691482 31.968511) (xy 41.229 31.506028) (xy 41.229 30.70757) + (xy 41.550234 30.574839) (xy 42.003248 30.122615) (xy 42.24872 29.531454) (xy 42.249279 28.891354) (xy 42.004839 28.299766) + (xy 41.552615 27.846752) (xy 40.961454 27.60128) (xy 40.321354 27.600721) (xy 39.729766 27.845161) (xy 39.276752 28.297385) + (xy 39.03128 28.888546) (xy 39.030721 29.528646) (xy 39.275161 30.120234) (xy 39.727385 30.573248) (xy 40.051 30.707624) + (xy 40.051 31.75) (xy 40.095835 31.975401) (xy 40.223514 32.166486) (xy 40.686 32.628971) (xy 40.686 34.637968) + (xy 40.631859 34.506937) (xy 40.594 34.469011) (xy 40.594 33.655) (xy 40.549165 33.4296) (xy 40.549165 33.429599) + (xy 40.421486 33.238514) (xy 39.151486 31.968514) (xy 38.960401 31.840835) (xy 38.735 31.796) (xy 37.465 31.796) + (xy 36.149 31.796) (xy 36.149 30.70757) (xy 36.470234 30.574839) (xy 36.923248 30.122615) (xy 37.16872 29.531454) + (xy 37.169279 28.891354) (xy 36.924839 28.299766) (xy 36.472615 27.846752) (xy 35.881454 27.60128) (xy 35.241354 27.600721) + (xy 34.649766 27.845161) (xy 34.196752 28.297385) (xy 33.95128 28.888546) (xy 33.950721 29.528646) (xy 34.195161 30.120234) + (xy 34.647385 30.573248) (xy 34.971 30.707624) (xy 34.971 31.796) (xy 32.385 31.796) (xy 32.159599 31.840835) + (xy 31.968514 31.968514) (xy 31.968511 31.968517) (xy 31.333514 32.603514) (xy 31.205835 32.794599) (xy 31.161 33.02) + (xy 31.161 33.506442) (xy 31.111365 33.506442) (xy 30.986723 33.557943) (xy 30.891278 33.653221) (xy 30.83956 33.777773) + (xy 30.839442 33.912635) (xy 30.839442 34.547635) (xy 30.890943 34.672277) (xy 30.986221 34.767722) (xy 31.110773 34.81944) + (xy 31.245635 34.819558) (xy 32.388635 34.819558) (xy 32.513277 34.768057) (xy 32.608722 34.672779) (xy 32.66044 34.548227) + (xy 32.660558 34.413365) (xy 32.660558 33.778365) (xy 32.609057 33.653723) (xy 32.513779 33.558278) (xy 32.389227 33.50656) + (xy 32.339 33.506516) (xy 32.339 33.263971) (xy 32.628971 32.974) (xy 35.56 32.974) (xy 37.465 32.974) + (xy 38.491028 32.974) (xy 39.416 33.898972) (xy 39.416 34.336) (xy 37.982522 34.336) (xy 37.862927 34.28634) + (xy 37.728065 34.286222) (xy 36.727305 34.286222) (xy 36.602663 34.337723) (xy 36.507218 34.433001) (xy 36.4555 34.557553) + (xy 36.455382 34.692415) (xy 36.455382 35.291855) (xy 36.506883 35.416497) (xy 36.602161 35.511942) (xy 36.726713 35.56366) + (xy 36.861575 35.563778) (xy 37.862335 35.563778) (xy 37.982807 35.514) (xy 39.416 35.514) (xy 39.416 36.542968) + (xy 39.361859 36.411937) (xy 39.154157 36.203871) (xy 38.882641 36.091128) (xy 38.588649 36.090872) (xy 38.316937 36.203141) + (xy 38.279011 36.241) (xy 37.982522 36.241) (xy 37.862927 36.19134) (xy 37.728065 36.191222) (xy 36.727305 36.191222) + (xy 36.602663 36.242723) (xy 36.507218 36.338001) (xy 36.4555 36.462553) (xy 36.455382 36.597415) (xy 36.455382 37.196855) + (xy 36.506883 37.321497) (xy 36.602161 37.416942) (xy 36.726713 37.46866) (xy 36.861575 37.468778) (xy 37.862335 37.468778) + (xy 37.982807 37.419) (xy 38.278778 37.419) (xy 38.315843 37.456129) (xy 38.587359 37.568872) (xy 38.881351 37.569128) + (xy 39.153063 37.456859) (xy 39.361129 37.249157) (xy 39.416 37.117012) (xy 39.416 38.1) (xy 39.416 38.583028) + (xy 39.151486 38.318514) (xy 38.960401 38.190835) (xy 38.735 38.146) (xy 37.465 38.146) (xy 37.239599 38.190835) + (xy 37.048514 38.318514) (xy 37.048511 38.318517) (xy 36.413514 38.953514) (xy 36.285835 39.144599) (xy 36.241 39.37) + (xy 36.241 40.491442) (xy 36.191365 40.491442) (xy 36.066723 40.542943) (xy 35.971278 40.638221) (xy 35.91956 40.762773) + (xy 35.919442 40.897635) (xy 35.919442 41.532635) (xy 35.970943 41.657277) (xy 36.066221 41.752722) (xy 36.190773 41.80444) + (xy 36.325635 41.804558) (xy 37.468635 41.804558) (xy 37.593277 41.753057) (xy 37.688722 41.657779) (xy 37.74044 41.533227) + (xy 37.740558 41.398365) (xy 37.740558 40.763365) (xy 37.689057 40.638723) (xy 37.593779 40.543278) (xy 37.469227 40.49156) + (xy 37.419 40.491516) (xy 37.419 39.613971) (xy 37.708971 39.324) (xy 38.491028 39.324) (xy 38.781 39.613971) + (xy 38.781 39.625907) (xy 38.73134 39.745503) (xy 38.731222 39.880365) (xy 38.731222 41.279905) (xy 38.782723 41.404547) + (xy 38.878001 41.499992) (xy 39.002553 41.55171) (xy 39.137415 41.551828) (xy 39.736855 41.551828) (xy 39.861497 41.500327) + (xy 39.956942 41.405049) (xy 40.005054 41.28918) (xy 40.052723 41.404547) (xy 40.148001 41.499992) (xy 40.272553 41.55171) + (xy 40.407415 41.551828) (xy 41.006855 41.551828) (xy 41.131497 41.500327) (xy 41.226942 41.405049) (xy 41.275054 41.28918) + (xy 41.322723 41.404547) (xy 41.418001 41.499992) (xy 41.542553 41.55171) (xy 41.677415 41.551828) (xy 42.276855 41.551828) + (xy 42.401497 41.500327) (xy 42.496942 41.405049) (xy 42.545054 41.28918) (xy 42.592723 41.404547) (xy 42.688001 41.499992) + (xy 42.812553 41.55171) (xy 42.947415 41.551828) (xy 43.546855 41.551828) (xy 43.671497 41.500327) (xy 43.766942 41.405049) + (xy 43.81866 41.280497) (xy 43.818778 41.145635) (xy 43.818778 39.746095) (xy 43.767277 39.621453) (xy 43.671999 39.526008) + (xy 43.547447 39.47429) (xy 43.412585 39.474172) (xy 42.813145 39.474172) (xy 42.688503 39.525673) (xy 42.593058 39.620951) + (xy 42.544945 39.736819) (xy 42.499 39.625622) (xy 42.499 39.37) (xy 42.454165 39.144599) (xy 42.326486 38.953514) + (xy 42.326482 38.953511) (xy 41.864 38.491028) (xy 41.864 38.1) (xy 41.864 37.419) (xy 42.545 37.419) + (xy 43.297477 37.419) (xy 43.417073 37.46866) (xy 43.551935 37.468778) (xy 44.552695 37.468778) (xy 44.677337 37.417277) + (xy 44.772782 37.321999) (xy 44.8245 37.197447) (xy 44.824618 37.062585) (xy 44.824618 38.79741) (xy 44.668514 38.953514) + (xy 44.540835 39.144599) (xy 44.496 39.37) (xy 44.496 43.43682) (xy 42.545 43.43682) (xy 42.33418 43.43682) + (xy 37.656543 43.43682) (xy 37.656543 43.04) (xy 37.656543 42.304) (xy 37.617803 42.210243) (xy 37.546134 42.138448) + (xy 37.452445 42.099545) (xy 37.351 42.099457) (xy 37.1795 42.0995) (xy 37.11575 42.16325) (xy 37.11575 42.51325) + (xy 37.59275 42.51325) (xy 37.6565 42.4495) (xy 37.656543 42.304) (xy 37.656543 43.04) (xy 37.6565 42.8945) + (xy 37.59275 42.83075) (xy 37.11575 42.83075) (xy 37.11575 43.18075) (xy 37.1795 43.2445) (xy 37.351 43.244543) + (xy 37.452445 43.244455) (xy 37.546134 43.205552) (xy 37.617803 43.133757) (xy 37.656543 43.04) (xy 37.656543 43.43682) + (xy 37.465 43.43682) (xy 37.25418 43.43682) (xy 36.54425 43.43682) (xy 36.54425 43.18075) (xy 36.54425 42.83075) + (xy 36.54425 42.51325) (xy 36.54425 42.16325) (xy 36.4805 42.0995) (xy 36.309 42.099457) (xy 36.207555 42.099545) + (xy 36.113866 42.138448) (xy 36.042197 42.210243) (xy 36.003457 42.304) (xy 36.0035 42.4495) (xy 36.06725 42.51325) + (xy 36.54425 42.51325) (xy 36.54425 42.83075) (xy 36.06725 42.83075) (xy 36.0035 42.8945) (xy 36.003457 43.04) + (xy 36.042197 43.133757) (xy 36.113866 43.205552) (xy 36.207555 43.244455) (xy 36.309 43.244543) (xy 36.4805 43.2445) + (xy 36.54425 43.18075) (xy 36.54425 43.43682) (xy 35.850603 43.43682) (xy 35.850603 37.07922) (xy 35.850603 36.58078) + (xy 35.850603 35.17422) (xy 35.850603 34.67578) (xy 35.850515 34.574335) (xy 35.811612 34.480646) (xy 35.739817 34.408977) + (xy 35.64606 34.370237) (xy 35.40912 34.37028) (xy 35.34537 34.43403) (xy 35.34537 34.77514) (xy 35.78681 34.77514) + (xy 35.85056 34.71139) (xy 35.850603 34.67578) (xy 35.850603 35.17422) (xy 35.85056 35.13861) (xy 35.78681 35.07486) + (xy 35.34537 35.07486) (xy 35.34537 35.41597) (xy 35.40912 35.47972) (xy 35.64606 35.479763) (xy 35.739817 35.441023) + (xy 35.811612 35.369354) (xy 35.850515 35.275665) (xy 35.850603 35.17422) (xy 35.850603 36.58078) (xy 35.850515 36.479335) + (xy 35.811612 36.385646) (xy 35.739817 36.313977) (xy 35.64606 36.275237) (xy 35.40912 36.27528) (xy 35.34537 36.33903) + (xy 35.34537 36.68014) (xy 35.78681 36.68014) (xy 35.85056 36.61639) (xy 35.850603 36.58078) (xy 35.850603 37.07922) + (xy 35.85056 37.04361) (xy 35.78681 36.97986) (xy 35.34537 36.97986) (xy 35.34537 37.32097) (xy 35.40912 37.38472) + (xy 35.64606 37.384763) (xy 35.739817 37.346023) (xy 35.811612 37.274354) (xy 35.850515 37.180665) (xy 35.850603 37.07922) + (xy 35.850603 43.43682) (xy 34.84499 43.43682) (xy 34.84499 37.32097) (xy 34.84499 36.97986) (xy 34.84499 36.68014) + (xy 34.84499 36.33903) (xy 34.84499 35.41597) (xy 34.84499 35.07486) (xy 34.84499 34.77514) (xy 34.84499 34.43403) + (xy 34.78124 34.37028) (xy 34.5443 34.370237) (xy 34.450543 34.408977) (xy 34.378748 34.480646) (xy 34.339845 34.574335) + (xy 34.339757 34.67578) (xy 34.3398 34.71139) (xy 34.40355 34.77514) (xy 34.84499 34.77514) (xy 34.84499 35.07486) + (xy 34.40355 35.07486) (xy 34.3398 35.13861) (xy 34.339757 35.17422) (xy 34.339845 35.275665) (xy 34.378748 35.369354) + (xy 34.450543 35.441023) (xy 34.5443 35.479763) (xy 34.78124 35.47972) (xy 34.84499 35.41597) (xy 34.84499 36.33903) + (xy 34.78124 36.27528) (xy 34.5443 36.275237) (xy 34.450543 36.313977) (xy 34.378748 36.385646) (xy 34.339845 36.479335) + (xy 34.339757 36.58078) (xy 34.3398 36.61639) (xy 34.40355 36.68014) (xy 34.84499 36.68014) (xy 34.84499 36.97986) + (xy 34.40355 36.97986) (xy 34.3398 37.04361) (xy 34.339757 37.07922) (xy 34.339845 37.180665) (xy 34.378748 37.274354) + (xy 34.450543 37.346023) (xy 34.5443 37.384763) (xy 34.78124 37.38472) (xy 34.84499 37.32097) (xy 34.84499 43.43682) + (xy 34.71418 43.43682) (xy 34.488779 43.481655) (xy 34.297694 43.609334) (xy 34.046028 43.861) (xy 32.85119 43.861) + (xy 32.85119 38.516959) (xy 32.683926 38.112149) (xy 32.37448 37.802163) (xy 32.339 37.78743) (xy 32.339 36.343558) + (xy 32.388635 36.343558) (xy 32.513277 36.292057) (xy 32.608722 36.196779) (xy 32.66044 36.072227) (xy 32.660558 35.937365) + (xy 32.660558 35.302365) (xy 32.609057 35.177723) (xy 32.513779 35.082278) (xy 32.389227 35.03056) (xy 32.254365 35.030442) + (xy 31.111365 35.030442) (xy 30.986723 35.081943) (xy 30.891278 35.177221) (xy 30.83956 35.301773) (xy 30.839442 35.436635) + (xy 30.839442 36.071635) (xy 30.890943 36.196277) (xy 30.986221 36.291722) (xy 31.110773 36.34344) (xy 31.161 36.343483) + (xy 31.161 37.787087) (xy 31.127149 37.801074) (xy 30.817163 38.11052) (xy 30.649192 38.515037) (xy 30.64881 38.953041) + (xy 30.816074 39.357851) (xy 31.12552 39.667837) (xy 31.530037 39.835808) (xy 31.968041 39.83619) (xy 32.372851 39.668926) + (xy 32.682837 39.35948) (xy 32.850808 38.954963) (xy 32.85119 38.516959) (xy 32.85119 43.861) (xy 32.851058 43.861) + (xy 32.851058 41.969865) (xy 32.851058 40.445865) (xy 32.799557 40.321223) (xy 32.704279 40.225778) (xy 32.579727 40.17406) + (xy 32.444865 40.173942) (xy 30.920865 40.173942) (xy 30.796223 40.225443) (xy 30.700778 40.320721) (xy 30.64906 40.445273) + (xy 30.648942 40.580135) (xy 30.648942 42.104135) (xy 30.700443 42.228777) (xy 30.795721 42.324222) (xy 30.920273 42.37594) + (xy 31.055135 42.376058) (xy 32.579135 42.376058) (xy 32.703777 42.324557) (xy 32.799222 42.229279) (xy 32.85094 42.104727) + (xy 32.851058 41.969865) (xy 32.851058 43.861) (xy 32.533558 43.861) (xy 32.533558 43.811365) (xy 32.482057 43.686723) + (xy 32.386779 43.591278) (xy 32.262227 43.53956) (xy 32.127365 43.539442) (xy 31.492365 43.539442) (xy 31.367723 43.590943) + (xy 31.272278 43.686221) (xy 31.22056 43.810773) (xy 31.220442 43.945635) (xy 31.220442 45.088635) (xy 31.271943 45.213277) + (xy 31.367221 45.308722) (xy 31.491773 45.36044) (xy 31.626635 45.360558) (xy 32.261635 45.360558) (xy 32.386277 45.309057) + (xy 32.481722 45.213779) (xy 32.53344 45.089227) (xy 32.533483 45.039) (xy 34.29 45.039) (xy 34.5154 44.994165) + (xy 34.515401 44.994165) (xy 34.706486 44.866486) (xy 34.958152 44.61482) (xy 36.241 44.61482) (xy 36.241 44.936442) + (xy 36.191365 44.936442) (xy 36.066723 44.987943) (xy 35.971278 45.083221) (xy 35.91956 45.207773) (xy 35.919442 45.342635) + (xy 35.919442 45.977635) (xy 35.970943 46.102277) (xy 36.066221 46.197722) (xy 36.190773 46.24944) (xy 36.325635 46.249558) + (xy 37.468635 46.249558) (xy 37.593277 46.198057) (xy 37.688722 46.102779) (xy 37.74044 45.978227) (xy 37.740558 45.843365) + (xy 37.740558 45.208365) (xy 37.689057 45.083723) (xy 37.593779 44.988278) (xy 37.469227 44.93656) (xy 37.419 44.936516) + (xy 37.419 44.693972) (xy 37.498152 44.61482) (xy 41.321 44.61482) (xy 41.321 44.959907) (xy 41.27134 45.079503) + (xy 41.271222 45.214365) (xy 41.271222 46.613905) (xy 41.322723 46.738547) (xy 41.418001 46.833992) (xy 41.542553 46.88571) + (xy 41.677415 46.885828) (xy 42.276855 46.885828) (xy 42.401497 46.834327) (xy 42.496942 46.739049) (xy 42.545054 46.62318) + (xy 42.591 46.734377) (xy 42.591 46.99) (xy 42.635835 47.215401) (xy 42.763514 47.406486) (xy 43.861 48.503972) + (xy 43.861 48.574504) (xy 43.857778 48.577721) (xy 43.80606 48.702273) (xy 43.805942 48.837135) (xy 43.805942 50.357135) + (xy 43.857443 50.481777) (xy 43.952721 50.577222) (xy 44.077273 50.62894) (xy 44.212135 50.629058) (xy 44.822135 50.629058) + (xy 44.946777 50.577557) (xy 45.042222 50.482279) (xy 45.09394 50.357727) (xy 45.094058 50.222865) (xy 45.094058 48.702865) + (xy 45.042557 48.578223) (xy 45.039 48.574659) (xy 45.039 48.26) (xy 44.994165 48.0346) (xy 44.994165 48.034599) + (xy 44.866486 47.843514) (xy 43.769 46.746028) (xy 43.769 46.734092) (xy 43.81866 46.614497) (xy 43.818778 46.479635) + (xy 43.818778 45.080095) (xy 43.767277 44.955453) (xy 43.671999 44.860008) (xy 43.547447 44.80829) (xy 43.412585 44.808172) + (xy 42.813145 44.808172) (xy 42.688503 44.859673) (xy 42.593058 44.954951) (xy 42.544945 45.070819) (xy 42.499 44.959622) + (xy 42.499 44.693972) (xy 42.578152 44.61482) (xy 45.085 44.61482) (xy 46.401 44.61482) (xy 46.401 44.936442) + (xy 46.351365 44.936442) (xy 46.226723 44.987943) (xy 46.131278 45.083221) (xy 46.07956 45.207773) (xy 46.079442 45.342635) + (xy 46.079442 45.977635) (xy 46.130943 46.102277) (xy 46.226221 46.197722) (xy 46.350773 46.24944) (xy 46.485635 46.249558) + (xy 47.628635 46.249558) (xy 47.753277 46.198057) (xy 47.848722 46.102779) (xy 47.90044 45.978227) (xy 47.900558 45.843365) + (xy 47.900558 45.208365) (xy 47.849057 45.083723) (xy 47.753779 44.988278) (xy 47.629227 44.93656) (xy 47.579 44.936516) + (xy 47.579 44.61482) (xy 50.930842 44.61482) (xy 50.930842 45.892545) (xy 50.982343 46.017187) (xy 51.077621 46.112632) + (xy 51.202173 46.16435) (xy 51.231 46.164375) (xy 51.231 47.840556) (xy 50.935513 48.135527) (xy 50.731233 48.627488) + (xy 50.730769 49.160175) (xy 50.93419 49.652491) (xy 51.310527 50.029487) (xy 51.750857 50.212327) (xy 51.63989 50.297783) + (xy 52.07 50.727893) (xy 52.50011 50.297783) (xy 52.388808 50.21207) (xy 52.827491 50.03081) (xy 53.204487 49.654473) + (xy 53.408767 49.162512) (xy 53.409231 48.629825) (xy 53.409231 51.480222) (xy 53.378149 51.449141) (xy 53.332032 51.495258) + (xy 53.323482 51.503807) (xy 53.332032 51.223779) (xy 53.316796 51.147183) (xy 53.207217 51.00489) (xy 52.777107 51.435) + (xy 53.084698 51.742591) (xy 52.84229 51.985) (xy 51.362893 51.985) (xy 51.29771 51.985) (xy 51.055301 51.742591) + (xy 51.362893 51.435) (xy 50.932783 51.00489) (xy 50.823204 51.147183) (xy 50.81244 51.49973) (xy 50.761851 51.449141) + (xy 50.519369 51.691622) (xy 50.812747 51.985) (xy 47.900558 51.985) (xy 47.900558 47.367365) (xy 47.900558 46.732365) + (xy 47.849057 46.607723) (xy 47.753779 46.512278) (xy 47.629227 46.46056) (xy 47.494365 46.460442) (xy 46.351365 46.460442) + (xy 46.226723 46.511943) (xy 46.131278 46.607221) (xy 46.07956 46.731773) (xy 46.079442 46.866635) (xy 46.079442 47.501635) + (xy 46.130943 47.626277) (xy 46.226221 47.721722) (xy 46.350773 47.77344) (xy 46.401 47.773483) (xy 46.401 48.574504) + (xy 46.397778 48.577721) (xy 46.34606 48.702273) (xy 46.345942 48.837135) (xy 46.345942 50.357135) (xy 46.397443 50.481777) + (xy 46.492721 50.577222) (xy 46.617273 50.62894) (xy 46.752135 50.629058) (xy 47.362135 50.629058) (xy 47.486777 50.577557) + (xy 47.582222 50.482279) (xy 47.63394 50.357727) (xy 47.634058 50.222865) (xy 47.634058 48.702865) (xy 47.582557 48.578223) + (xy 47.579 48.574659) (xy 47.579 47.773558) (xy 47.628635 47.773558) (xy 47.753277 47.722057) (xy 47.848722 47.626779) + (xy 47.90044 47.502227) (xy 47.900558 47.367365) (xy 47.900558 51.985) (xy 41.200043 51.985) (xy 41.200043 50.2395) + (xy 41.200043 48.8205) (xy 41.199955 48.719055) (xy 41.194763 48.706551) (xy 41.194763 46.49627) (xy 41.194763 45.19773) + (xy 41.194675 45.096285) (xy 41.155772 45.002596) (xy 41.083977 44.930927) (xy 40.99022 44.892187) (xy 40.85361 44.89223) + (xy 40.78986 44.95598) (xy 40.78986 45.497115) (xy 41.13097 45.497115) (xy 41.19472 45.433365) (xy 41.194763 45.19773) + (xy 41.194763 46.49627) (xy 41.19472 46.260635) (xy 41.13097 46.196885) (xy 40.78986 46.196885) (xy 40.78986 46.73802) + (xy 40.85361 46.80177) (xy 40.99022 46.801813) (xy 41.083977 46.763073) (xy 41.155772 46.691404) (xy 41.194675 46.597715) + (xy 41.194763 46.49627) (xy 41.194763 48.706551) (xy 41.161052 48.625366) (xy 41.089257 48.553697) (xy 40.9955 48.514957) + (xy 40.85625 48.515) (xy 40.7925 48.57875) (xy 40.7925 49.15) (xy 41.13625 49.15) (xy 41.2 49.08625) + (xy 41.200043 48.8205) (xy 41.200043 50.2395) (xy 41.2 49.97375) (xy 41.13625 49.91) (xy 40.7925 49.91) + (xy 40.7925 50.48125) (xy 40.85625 50.545) (xy 40.9955 50.545043) (xy 41.089257 50.506303) (xy 41.161052 50.434634) + (xy 41.199955 50.340945) (xy 41.200043 50.2395) (xy 41.200043 51.985) (xy 40.49014 51.985) (xy 40.49014 46.73802) + (xy 40.49014 46.196885) (xy 40.49014 45.497115) (xy 40.49014 44.95598) (xy 40.42639 44.89223) (xy 40.28978 44.892187) + (xy 40.196023 44.930927) (xy 40.124228 45.002596) (xy 40.085325 45.096285) (xy 40.085237 45.19773) (xy 40.08528 45.433365) + (xy 40.14903 45.497115) (xy 40.49014 45.497115) (xy 40.49014 46.196885) (xy 40.14903 46.196885) (xy 40.08528 46.260635) + (xy 40.085237 46.49627) (xy 40.085325 46.597715) (xy 40.124228 46.691404) (xy 40.196023 46.763073) (xy 40.28978 46.801813) + (xy 40.42639 46.80177) (xy 40.49014 46.73802) (xy 40.49014 51.985) (xy 40.4875 51.985) (xy 40.4875 50.48125) + (xy 40.4875 49.91) (xy 40.4875 49.15) (xy 40.4875 48.57875) (xy 40.42375 48.515) (xy 40.2845 48.514957) + (xy 40.190743 48.553697) (xy 40.118948 48.625366) (xy 40.080045 48.719055) (xy 40.079957 48.8205) (xy 40.08 49.08625) + (xy 40.14375 49.15) (xy 40.4875 49.15) (xy 40.4875 49.91) (xy 40.14375 49.91) (xy 40.08 49.97375) + (xy 40.079957 50.2395) (xy 40.080045 50.340945) (xy 40.118948 50.434634) (xy 40.190743 50.506303) (xy 40.2845 50.545043) + (xy 40.42375 50.545) (xy 40.4875 50.48125) (xy 40.4875 51.985) (xy 40.008778 51.985) (xy 40.008778 46.479635) + (xy 40.008778 45.080095) (xy 39.957277 44.955453) (xy 39.861999 44.860008) (xy 39.737447 44.80829) (xy 39.602585 44.808172) + (xy 39.003145 44.808172) (xy 38.878503 44.859673) (xy 38.783058 44.954951) (xy 38.73134 45.079503) (xy 38.731222 45.214365) + (xy 38.731222 46.613905) (xy 38.781 46.734377) (xy 38.781 46.746028) (xy 37.856028 47.671) (xy 37.708971 47.671) + (xy 37.676725 47.638754) (xy 37.688722 47.626779) (xy 37.74044 47.502227) (xy 37.740558 47.367365) (xy 37.740558 46.732365) + (xy 37.689057 46.607723) (xy 37.593779 46.512278) (xy 37.469227 46.46056) (xy 37.334365 46.460442) (xy 36.191365 46.460442) + (xy 36.066723 46.511943) (xy 35.971278 46.607221) (xy 35.91956 46.731773) (xy 35.919442 46.866635) (xy 35.919442 47.501635) + (xy 35.970943 47.626277) (xy 36.066221 47.721722) (xy 36.190773 47.77344) (xy 36.27054 47.773509) (xy 36.285835 47.850401) + (xy 36.413514 48.041486) (xy 37.048511 48.676482) (xy 37.048514 48.676486) (xy 37.239599 48.804165) (xy 37.455942 48.847198) + (xy 37.455942 50.357135) (xy 37.507443 50.481777) (xy 37.602721 50.577222) (xy 37.727273 50.62894) (xy 37.862135 50.629058) + (xy 38.472135 50.629058) (xy 38.596777 50.577557) (xy 38.692222 50.482279) (xy 38.74394 50.357727) (xy 38.744058 50.222865) + (xy 38.744058 48.702865) (xy 38.692557 48.578223) (xy 38.689 48.574659) (xy 38.689 48.503972) (xy 39.786486 47.406486) + (xy 39.914165 47.215401) (xy 39.914165 47.2154) (xy 39.959 46.99) (xy 39.959 46.734092) (xy 40.00866 46.614497) + (xy 40.008778 46.479635) (xy 40.008778 51.985) (xy 31.009558 51.985) (xy 31.009558 44.954365) (xy 31.009558 43.811365) + (xy 30.958057 43.686723) (xy 30.862779 43.591278) (xy 30.738227 43.53956) (xy 30.603365 43.539442) (xy 29.968365 43.539442) + (xy 29.894448 43.569983) (xy 29.894448 28.697276) (xy 29.501127 27.745366) (xy 28.773465 27.016433) (xy 27.822243 26.621451) + (xy 26.792276 26.620552) (xy 25.840366 27.013873) (xy 25.111433 27.741535) (xy 24.716451 28.692757) (xy 24.715552 29.722724) + (xy 25.108873 30.674634) (xy 25.836535 31.403567) (xy 26.787757 31.798549) (xy 27.817724 31.799448) (xy 28.769634 31.406127) + (xy 29.498567 30.678465) (xy 29.893549 29.727243) (xy 29.894448 28.697276) (xy 29.894448 43.569983) (xy 29.843723 43.590943) + (xy 29.748278 43.686221) (xy 29.69656 43.810773) (xy 29.696516 43.861) (xy 29.358558 43.861) (xy 29.358558 43.811365) + (xy 29.307057 43.686723) (xy 29.211779 43.591278) (xy 29.087227 43.53956) (xy 28.952365 43.539442) (xy 28.317365 43.539442) + (xy 28.192723 43.590943) (xy 28.097278 43.686221) (xy 28.04556 43.810773) (xy 28.045442 43.945635) (xy 28.045442 45.088635) + (xy 28.096943 45.213277) (xy 28.192221 45.308722) (xy 28.316773 45.36044) (xy 28.451635 45.360558) (xy 29.086635 45.360558) + (xy 29.211277 45.309057) (xy 29.306722 45.213779) (xy 29.35844 45.089227) (xy 29.358483 45.039) (xy 29.696442 45.039) + (xy 29.696442 45.088635) (xy 29.747943 45.213277) (xy 29.843221 45.308722) (xy 29.967773 45.36044) (xy 30.102635 45.360558) + (xy 30.737635 45.360558) (xy 30.862277 45.309057) (xy 30.957722 45.213779) (xy 31.00944 45.089227) (xy 31.009558 44.954365) + (xy 31.009558 51.985) (xy 27.750543 51.985) (xy 27.750543 44.971) (xy 27.750543 43.929) (xy 27.750455 43.827555) + (xy 27.711552 43.733866) (xy 27.639757 43.662197) (xy 27.546 43.623457) (xy 27.4005 43.6235) (xy 27.33675 43.68725) + (xy 27.33675 44.16425) (xy 27.68675 44.16425) (xy 27.7505 44.1005) (xy 27.750543 43.929) (xy 27.750543 44.971) + (xy 27.7505 44.7995) (xy 27.68675 44.73575) (xy 27.33675 44.73575) (xy 27.33675 45.21275) (xy 27.4005 45.2765) + (xy 27.546 45.276543) (xy 27.639757 45.237803) (xy 27.711552 45.166134) (xy 27.750455 45.072445) (xy 27.750543 44.971) + (xy 27.750543 51.985) (xy 27.01925 51.985) (xy 27.01925 45.21275) (xy 27.01925 44.73575) (xy 27.01925 44.16425) + (xy 27.01925 43.68725) (xy 26.9555 43.6235) (xy 26.81 43.623457) (xy 26.716243 43.662197) (xy 26.644448 43.733866) + (xy 26.605545 43.827555) (xy 26.605457 43.929) (xy 26.6055 44.1005) (xy 26.66925 44.16425) (xy 27.01925 44.16425) + (xy 27.01925 44.73575) (xy 26.66925 44.73575) (xy 26.6055 44.7995) (xy 26.605457 44.971) (xy 26.605545 45.072445) + (xy 26.644448 45.166134) (xy 26.716243 45.237803) (xy 26.81 45.276543) (xy 26.9555 45.2765) (xy 27.01925 45.21275) + (xy 27.01925 51.985) (xy 23.8 51.985) (xy 23.8 28.630701) (xy 24.291309 27.330491) (xy 25.23997 26.32027) + (xy 26.503008 25.749986) (xy 27.939247 25.70485) (xy 27.94 25.705) (xy 53.335193 25.705) (xy 54.776912 25.750306) + (xy 56.039839 26.32054) (xy 56.98841 27.330666) (xy 57.48 28.63162) (xy 57.48 51.985) + ) + ) + ) + (zone (net 4) (net_name GND) (layer F.Cu) (tstamp 555F214A) (hatch edge 0.508) + (connect_pads (clearance 0.17)) + (min_thickness 0.17) + (fill (arc_segments 16) (thermal_gap 0.17) (thermal_bridge_width 2)) + (polygon + (pts + (xy 23.495 53.34) (xy 57.785 53.34) (xy 57.785 79.375) (xy 23.495 79.375) + ) + ) + (filled_polygon + (pts + (xy 57.48 75.528414) (xy 57.208232 76.900943) (xy 56.564448 77.866256) (xy 56.564448 75.052276) (xy 56.171127 74.100366) + (xy 55.443465 73.371433) (xy 54.492243 72.976451) (xy 53.462276 72.975552) (xy 52.510366 73.368873) (xy 51.781433 74.096535) + (xy 51.386451 75.047757) (xy 51.385552 76.077724) (xy 51.778873 77.029634) (xy 52.506535 77.758567) (xy 53.457757 78.153549) + (xy 54.487724 78.154448) (xy 55.439634 77.761127) (xy 56.168567 77.033465) (xy 56.563549 76.082243) (xy 56.564448 75.052276) + (xy 56.564448 77.866256) (xy 56.447272 78.041954) (xy 55.311449 78.799454) (xy 53.945096 79.07) (xy 45.424388 79.07) + (xy 45.424388 75.120599) (xy 45.083479 74.295537) (xy 44.959043 74.170883) (xy 44.959043 69.355) (xy 44.959043 68.313) + (xy 44.958955 68.211555) (xy 44.920052 68.117866) (xy 44.848257 68.046197) (xy 44.7545 68.007457) (xy 44.64075 68.0075) + (xy 44.577 68.07125) (xy 44.577 68.54825) (xy 44.89525 68.54825) (xy 44.959 68.4845) (xy 44.959043 68.313) + (xy 44.959043 69.355) (xy 44.959 69.1835) (xy 44.89525 69.11975) (xy 44.577 69.11975) (xy 44.577 69.59675) + (xy 44.64075 69.6605) (xy 44.7545 69.660543) (xy 44.848257 69.621803) (xy 44.920052 69.550134) (xy 44.958955 69.456445) + (xy 44.959043 69.355) (xy 44.959043 74.170883) (xy 44.452783 73.663739) (xy 44.323 73.609848) (xy 44.323 69.59675) + (xy 44.323 69.11975) (xy 44.323 68.54825) (xy 44.323 68.07125) (xy 44.25925 68.0075) (xy 44.1455 68.007457) + (xy 44.051743 68.046197) (xy 43.979948 68.117866) (xy 43.941045 68.211555) (xy 43.940957 68.313) (xy 43.941 68.4845) + (xy 44.00475 68.54825) (xy 44.323 68.54825) (xy 44.323 69.11975) (xy 44.00475 69.11975) (xy 43.941 69.1835) + (xy 43.940957 69.355) (xy 43.941045 69.456445) (xy 43.979948 69.550134) (xy 44.051743 69.621803) (xy 44.1455 69.660543) + (xy 44.25925 69.6605) (xy 44.323 69.59675) (xy 44.323 73.609848) (xy 43.769 73.379807) (xy 43.769 69.482715) + (xy 43.77294 69.473227) (xy 43.773058 69.338365) (xy 43.773058 68.195365) (xy 43.721557 68.070723) (xy 43.626279 67.975278) + (xy 43.501727 67.92356) (xy 43.366865 67.923442) (xy 42.858865 67.923442) (xy 42.734223 67.974943) (xy 42.638778 68.070221) + (xy 42.58706 68.194773) (xy 42.586942 68.329635) (xy 42.586942 69.472635) (xy 42.591 69.482456) (xy 42.591 73.380359) + (xy 41.910537 73.661521) (xy 41.278739 74.292217) (xy 41.149043 74.604559) (xy 41.149043 69.355) (xy 41.149043 68.313) + (xy 41.148955 68.211555) (xy 41.110052 68.117866) (xy 41.038257 68.046197) (xy 40.9445 68.007457) (xy 40.83075 68.0075) + (xy 40.767 68.07125) (xy 40.767 68.54825) (xy 41.08525 68.54825) (xy 41.149 68.4845) (xy 41.149043 68.313) + (xy 41.149043 69.355) (xy 41.149 69.1835) (xy 41.08525 69.11975) (xy 40.767 69.11975) (xy 40.767 69.59675) + (xy 40.83075 69.6605) (xy 40.9445 69.660543) (xy 41.038257 69.621803) (xy 41.110052 69.550134) (xy 41.148955 69.456445) + (xy 41.149043 69.355) (xy 41.149043 74.604559) (xy 40.936391 75.116683) (xy 40.935612 76.009401) (xy 41.276521 76.834463) + (xy 41.907217 77.466261) (xy 42.731683 77.808609) (xy 43.624401 77.809388) (xy 44.449463 77.468479) (xy 45.081261 76.837783) + (xy 45.423609 76.013317) (xy 45.424388 75.120599) (xy 45.424388 79.07) (xy 40.513 79.07) (xy 40.513 69.59675) + (xy 40.513 69.11975) (xy 40.513 68.54825) (xy 40.513 68.07125) (xy 40.44925 68.0075) (xy 40.3355 68.007457) + (xy 40.241743 68.046197) (xy 40.169948 68.117866) (xy 40.131045 68.211555) (xy 40.130957 68.313) (xy 40.131 68.4845) + (xy 40.19475 68.54825) (xy 40.513 68.54825) (xy 40.513 69.11975) (xy 40.19475 69.11975) (xy 40.131 69.1835) + (xy 40.130957 69.355) (xy 40.131045 69.456445) (xy 40.169948 69.550134) (xy 40.241743 69.621803) (xy 40.3355 69.660543) + (xy 40.44925 69.6605) (xy 40.513 69.59675) (xy 40.513 79.07) (xy 40.344388 79.07) (xy 40.344388 75.120599) + (xy 40.003479 74.295537) (xy 39.372783 73.663739) (xy 38.689 73.379807) (xy 38.689 69.482715) (xy 38.69294 69.473227) + (xy 38.693058 69.338365) (xy 38.693058 68.195365) (xy 38.693058 64.004365) (xy 38.693058 62.861365) (xy 38.641557 62.736723) + (xy 38.546279 62.641278) (xy 38.421727 62.58956) (xy 38.286865 62.589442) (xy 37.778865 62.589442) (xy 37.654223 62.640943) + (xy 37.558778 62.736221) (xy 37.50706 62.860773) (xy 37.506942 62.995635) (xy 37.506942 64.138635) (xy 37.558443 64.263277) + (xy 37.653721 64.358722) (xy 37.778273 64.41044) (xy 37.913135 64.410558) (xy 38.421135 64.410558) (xy 38.545777 64.359057) + (xy 38.641222 64.263779) (xy 38.69294 64.139227) (xy 38.693058 64.004365) (xy 38.693058 68.195365) (xy 38.641557 68.070723) + (xy 38.546279 67.975278) (xy 38.421727 67.92356) (xy 38.286865 67.923442) (xy 37.778865 67.923442) (xy 37.654223 67.974943) + (xy 37.558778 68.070221) (xy 37.50706 68.194773) (xy 37.506942 68.329635) (xy 37.506942 69.472635) (xy 37.511 69.482456) + (xy 37.511 73.380359) (xy 37.339043 73.45141) (xy 37.339043 69.355) (xy 37.339043 68.313) (xy 37.338955 68.211555) + (xy 37.300052 68.117866) (xy 37.228257 68.046197) (xy 37.1345 68.007457) (xy 37.02075 68.0075) (xy 36.957 68.07125) + (xy 36.957 68.54825) (xy 37.27525 68.54825) (xy 37.339 68.4845) (xy 37.339043 68.313) (xy 37.339043 69.355) + (xy 37.339 69.1835) (xy 37.27525 69.11975) (xy 36.957 69.11975) (xy 36.957 69.59675) (xy 37.02075 69.6605) + (xy 37.1345 69.660543) (xy 37.228257 69.621803) (xy 37.300052 69.550134) (xy 37.338955 69.456445) (xy 37.339043 69.355) + (xy 37.339043 73.45141) (xy 36.830537 73.661521) (xy 36.703 73.788835) (xy 36.703 69.59675) (xy 36.703 69.11975) + (xy 36.703 68.54825) (xy 36.703 68.07125) (xy 36.63925 68.0075) (xy 36.5255 68.007457) (xy 36.431743 68.046197) + (xy 36.359948 68.117866) (xy 36.321045 68.211555) (xy 36.320957 68.313) (xy 36.321 68.4845) (xy 36.38475 68.54825) + (xy 36.703 68.54825) (xy 36.703 69.11975) (xy 36.38475 69.11975) (xy 36.321 69.1835) (xy 36.320957 69.355) + (xy 36.321045 69.456445) (xy 36.359948 69.550134) (xy 36.431743 69.621803) (xy 36.5255 69.660543) (xy 36.63925 69.6605) + (xy 36.703 69.59675) (xy 36.703 73.788835) (xy 36.198739 74.292217) (xy 35.856391 75.116683) (xy 35.855612 76.009401) + (xy 36.196521 76.834463) (xy 36.827217 77.466261) (xy 37.651683 77.808609) (xy 38.544401 77.809388) (xy 39.369463 77.468479) + (xy 40.001261 76.837783) (xy 40.343609 76.013317) (xy 40.344388 75.120599) (xy 40.344388 79.07) (xy 35.180043 79.07) + (xy 35.180043 77.4195) (xy 35.180043 73.7105) (xy 35.179955 73.609055) (xy 35.141052 73.515366) (xy 35.069257 73.443697) + (xy 34.9755 73.404957) (xy 33.99875 73.405) (xy 33.935 73.46875) (xy 33.935 74.65) (xy 35.11625 74.65) + (xy 35.18 74.58625) (xy 35.180043 73.7105) (xy 35.180043 77.4195) (xy 35.18 76.54375) (xy 35.11625 76.48) + (xy 33.935 76.48) (xy 33.935 77.66125) (xy 33.99875 77.725) (xy 34.9755 77.725043) (xy 35.069257 77.686303) + (xy 35.141052 77.614634) (xy 35.179955 77.520945) (xy 35.180043 77.4195) (xy 35.180043 79.07) (xy 32.105 79.07) + (xy 32.105 77.66125) (xy 32.105 76.48) (xy 32.105 74.65) (xy 32.105 73.46875) (xy 32.04125 73.405) + (xy 31.0645 73.404957) (xy 30.970743 73.443697) (xy 30.898948 73.515366) (xy 30.860045 73.609055) (xy 30.859957 73.7105) + (xy 30.86 74.58625) (xy 30.92375 74.65) (xy 32.105 74.65) (xy 32.105 76.48) (xy 30.92375 76.48) + (xy 30.86 76.54375) (xy 30.859957 77.4195) (xy 30.860045 77.520945) (xy 30.898948 77.614634) (xy 30.970743 77.686303) + (xy 31.0645 77.725043) (xy 32.04125 77.725) (xy 32.105 77.66125) (xy 32.105 79.07) (xy 29.894448 79.07) + (xy 29.894448 75.052276) (xy 29.501127 74.100366) (xy 28.773465 73.371433) (xy 27.822243 72.976451) (xy 26.792276 72.975552) + (xy 25.840366 73.368873) (xy 25.111433 74.096535) (xy 24.716451 75.047757) (xy 24.715552 76.077724) (xy 25.108873 77.029634) + (xy 25.836535 77.758567) (xy 26.787757 78.153549) (xy 27.817724 78.154448) (xy 28.769634 77.761127) (xy 29.498567 77.033465) + (xy 29.893549 76.082243) (xy 29.894448 75.052276) (xy 29.894448 79.07) (xy 26.72662 79.07) (xy 25.425666 78.57841) + (xy 24.41554 77.629839) (xy 23.845306 76.366912) (xy 23.8 74.925193) (xy 23.8 53.425) (xy 50.848532 53.425) + (xy 50.731233 53.707488) (xy 50.730769 54.240175) (xy 50.93419 54.732491) (xy 51.310527 55.109487) (xy 51.673002 55.26) + (xy 51.50625 55.26) (xy 51.1205 55.259957) (xy 51.019055 55.260045) (xy 50.925366 55.298948) (xy 50.853697 55.370743) + (xy 50.814957 55.4645) (xy 50.815 55.95125) (xy 50.87875 56.015) (xy 51.57 56.015) (xy 51.57 55.58) + (xy 52.57 55.58) (xy 52.57 56.015) (xy 53.26125 56.015) (xy 53.325 55.95125) (xy 53.325043 55.4645) + (xy 53.286303 55.370743) (xy 53.214634 55.298948) (xy 53.120945 55.260045) (xy 53.0195 55.259957) (xy 52.63375 55.26) + (xy 52.466423 55.26) (xy 52.827491 55.11081) (xy 53.058589 54.880115) (xy 53.771 55.592525) (xy 53.771 58.466) + (xy 53.325043 58.466) (xy 53.325043 57.5655) (xy 53.325 57.07875) (xy 53.26125 57.015) (xy 52.57 57.015) + (xy 52.57 57.70625) (xy 52.63375 57.77) (xy 53.0195 57.770043) (xy 53.120945 57.769955) (xy 53.214634 57.731052) + (xy 53.286303 57.659257) (xy 53.325043 57.5655) (xy 53.325043 58.466) (xy 51.57 58.466) (xy 51.57 57.70625) + (xy 51.57 57.015) (xy 50.87875 57.015) (xy 50.815 57.07875) (xy 50.814957 57.5655) (xy 50.853697 57.659257) + (xy 50.925366 57.731052) (xy 51.019055 57.769955) (xy 51.1205 57.770043) (xy 51.50625 57.77) (xy 51.57 57.70625) + (xy 51.57 58.466) (xy 50.948558 58.466) (xy 50.948558 58.416365) (xy 50.897057 58.291723) (xy 50.801779 58.196278) + (xy 50.677227 58.14456) (xy 50.542365 58.144442) (xy 49.907365 58.144442) (xy 49.782723 58.195943) (xy 49.687278 58.291221) + (xy 49.63556 58.415773) (xy 49.635442 58.550635) (xy 49.635442 59.693635) (xy 49.686943 59.818277) (xy 49.782221 59.913722) + (xy 49.906773 59.96544) (xy 50.041635 59.965558) (xy 50.676635 59.965558) (xy 50.801277 59.914057) (xy 50.896722 59.818779) + (xy 50.94844 59.694227) (xy 50.948483 59.644) (xy 53.771 59.644) (xy 53.771 62.23) (xy 53.771 70.772474) + (xy 53.627474 70.916) (xy 52.909 70.916) (xy 52.909 70.294468) (xy 52.937235 70.294468) (xy 53.061877 70.242967) + (xy 53.157322 70.147689) (xy 53.20904 70.023137) (xy 53.209158 69.888275) (xy 53.209158 66.289095) (xy 53.157657 66.164453) + (xy 53.125143 66.131882) (xy 53.125143 64.50427) (xy 53.125143 60.80409) (xy 53.086403 60.710333) (xy 53.014734 60.638538) + (xy 52.921045 60.599635) (xy 52.8196 60.599547) (xy 52.5338 60.59959) (xy 52.47005 60.66334) (xy 52.47005 61.754385) + (xy 53.06135 61.754385) (xy 53.1251 61.690635) (xy 53.125143 60.80409) (xy 53.125143 64.50427) (xy 53.1251 63.617725) + (xy 53.06135 63.553975) (xy 52.47005 63.553975) (xy 52.47005 64.64502) (xy 52.5338 64.70877) (xy 52.8196 64.708813) + (xy 52.921045 64.708725) (xy 53.014734 64.669822) (xy 53.086403 64.598027) (xy 53.125143 64.50427) (xy 53.125143 66.131882) + (xy 53.062379 66.069008) (xy 52.937827 66.01729) (xy 52.802965 66.017172) (xy 51.66995 66.017172) (xy 51.66995 64.64502) + (xy 51.66995 63.553975) (xy 51.66995 61.754385) (xy 51.66995 60.66334) (xy 51.6062 60.59959) (xy 51.3204 60.599547) + (xy 51.218955 60.599635) (xy 51.125266 60.638538) (xy 51.053597 60.710333) (xy 51.014857 60.80409) (xy 51.0149 61.690635) + (xy 51.07865 61.754385) (xy 51.66995 61.754385) (xy 51.66995 63.553975) (xy 51.07865 63.553975) (xy 51.0149 63.617725) + (xy 51.014857 64.50427) (xy 51.053597 64.598027) (xy 51.125266 64.669822) (xy 51.218955 64.708725) (xy 51.3204 64.708813) + (xy 51.6062 64.70877) (xy 51.66995 64.64502) (xy 51.66995 66.017172) (xy 51.202765 66.017172) (xy 51.078123 66.068673) + (xy 50.982678 66.163951) (xy 50.93096 66.288503) (xy 50.930842 66.423365) (xy 50.930842 70.022545) (xy 50.982343 70.147187) + (xy 51.077621 70.242632) (xy 51.202173 70.29435) (xy 51.231 70.294375) (xy 51.231 70.916) (xy 49.424558 70.916) + (xy 49.424558 59.559365) (xy 49.424558 58.416365) (xy 49.373057 58.291723) (xy 49.277779 58.196278) (xy 49.153227 58.14456) + (xy 49.018365 58.144442) (xy 48.383365 58.144442) (xy 48.258723 58.195943) (xy 48.163278 58.291221) (xy 48.11156 58.415773) + (xy 48.111516 58.466) (xy 47.579 58.466) (xy 47.579 56.835495) (xy 47.582222 56.832279) (xy 47.63394 56.707727) + (xy 47.634058 56.572865) (xy 47.634058 55.052865) (xy 47.582557 54.928223) (xy 47.487279 54.832778) (xy 47.362727 54.78106) + (xy 47.227865 54.780942) (xy 46.617865 54.780942) (xy 46.493223 54.832443) (xy 46.397778 54.927721) (xy 46.34606 55.052273) + (xy 46.345942 55.187135) (xy 46.345942 56.707135) (xy 46.397443 56.831777) (xy 46.401 56.83534) (xy 46.401 58.598778) + (xy 46.363871 58.635843) (xy 46.251128 58.907359) (xy 46.250872 59.201351) (xy 46.363141 59.473063) (xy 46.401 59.510988) + (xy 46.401 59.69) (xy 46.401 60.325) (xy 46.401 60.672968) (xy 46.346859 60.541937) (xy 46.309 60.504011) + (xy 46.309 59.69) (xy 46.264165 59.464599) (xy 46.136486 59.273514) (xy 46.136482 59.273511) (xy 45.501486 58.638514) + (xy 45.310401 58.510835) (xy 45.085 58.466) (xy 45.010043 58.466) (xy 45.010043 56.5895) (xy 45.010043 55.1705) + (xy 45.009955 55.069055) (xy 44.971052 54.975366) (xy 44.899257 54.903697) (xy 44.8055 54.864957) (xy 44.66625 54.865) + (xy 44.6025 54.92875) (xy 44.6025 55.5) (xy 44.94625 55.5) (xy 45.01 55.43625) (xy 45.010043 55.1705) + (xy 45.010043 56.5895) (xy 45.01 56.32375) (xy 44.94625 56.26) (xy 44.6025 56.26) (xy 44.6025 56.83125) + (xy 44.66625 56.895) (xy 44.8055 56.895043) (xy 44.899257 56.856303) (xy 44.971052 56.784634) (xy 45.009955 56.690945) + (xy 45.010043 56.5895) (xy 45.010043 58.466) (xy 44.2975 58.466) (xy 44.2975 56.83125) (xy 44.2975 56.26) + (xy 44.2975 55.5) (xy 44.2975 54.92875) (xy 44.23375 54.865) (xy 44.0945 54.864957) (xy 44.000743 54.903697) + (xy 43.928948 54.975366) (xy 43.890045 55.069055) (xy 43.889957 55.1705) (xy 43.89 55.43625) (xy 43.95375 55.5) + (xy 44.2975 55.5) (xy 44.2975 56.26) (xy 43.95375 56.26) (xy 43.89 56.32375) (xy 43.889957 56.5895) + (xy 43.890045 56.690945) (xy 43.928948 56.784634) (xy 44.000743 56.856303) (xy 44.0945 56.895043) (xy 44.23375 56.895) + (xy 44.2975 56.83125) (xy 44.2975 58.466) (xy 41.518971 58.466) (xy 41.229 58.176028) (xy 41.229 56.835495) + (xy 41.232222 56.832279) (xy 41.28394 56.707727) (xy 41.284058 56.572865) (xy 41.284058 55.052865) (xy 41.232557 54.928223) + (xy 41.137279 54.832778) (xy 41.012727 54.78106) (xy 40.877865 54.780942) (xy 40.267865 54.780942) (xy 40.143223 54.832443) + (xy 40.047778 54.927721) (xy 39.99606 55.052273) (xy 39.995942 55.187135) (xy 39.995942 56.707135) (xy 40.047443 56.831777) + (xy 40.051 56.83534) (xy 40.051 58.42) (xy 40.095835 58.645401) (xy 40.223514 58.836486) (xy 40.858511 59.471482) + (xy 40.858514 59.471486) (xy 41.049599 59.599165) (xy 41.275 59.644) (xy 44.841028 59.644) (xy 45.131 59.933971) + (xy 45.131 60.503778) (xy 45.093871 60.540843) (xy 44.981128 60.812359) (xy 44.980872 61.106351) (xy 45.093141 61.378063) + (xy 45.131 61.415988) (xy 45.131 64.526028) (xy 45.043058 64.61397) (xy 45.043058 64.004365) (xy 45.043058 62.861365) + (xy 44.991557 62.736723) (xy 44.896279 62.641278) (xy 44.771727 62.58956) (xy 44.636865 62.589442) (xy 44.128865 62.589442) + (xy 44.004223 62.640943) (xy 43.908778 62.736221) (xy 43.85706 62.860773) (xy 43.856942 62.995635) (xy 43.856942 64.138635) + (xy 43.908443 64.263277) (xy 44.003721 64.358722) (xy 44.128273 64.41044) (xy 44.263135 64.410558) (xy 44.771135 64.410558) + (xy 44.895777 64.359057) (xy 44.991222 64.263779) (xy 45.04294 64.139227) (xy 45.043058 64.004365) (xy 45.043058 64.61397) + (xy 44.841028 64.816) (xy 43.773058 64.816) (xy 43.773058 64.004365) (xy 43.773058 62.861365) (xy 43.721557 62.736723) + (xy 43.626279 62.641278) (xy 43.501727 62.58956) (xy 43.366865 62.589442) (xy 42.858865 62.589442) (xy 42.734223 62.640943) + (xy 42.638778 62.736221) (xy 42.58706 62.860773) (xy 42.586942 62.995635) (xy 42.586942 64.138635) (xy 42.638443 64.263277) + (xy 42.733721 64.358722) (xy 42.858273 64.41044) (xy 42.993135 64.410558) (xy 43.501135 64.410558) (xy 43.625777 64.359057) + (xy 43.721222 64.263779) (xy 43.77294 64.139227) (xy 43.773058 64.004365) (xy 43.773058 64.816) (xy 42.503058 64.816) + (xy 42.503058 64.004365) (xy 42.503058 62.861365) (xy 42.451557 62.736723) (xy 42.356279 62.641278) (xy 42.231727 62.58956) + (xy 42.096865 62.589442) (xy 41.588865 62.589442) (xy 41.464223 62.640943) (xy 41.368778 62.736221) (xy 41.31706 62.860773) + (xy 41.316942 62.995635) (xy 41.316942 64.138635) (xy 41.368443 64.263277) (xy 41.463721 64.358722) (xy 41.588273 64.41044) + (xy 41.723135 64.410558) (xy 42.231135 64.410558) (xy 42.355777 64.359057) (xy 42.451222 64.263779) (xy 42.50294 64.139227) + (xy 42.503058 64.004365) (xy 42.503058 64.816) (xy 41.233058 64.816) (xy 41.233058 64.004365) (xy 41.233058 62.861365) + (xy 41.181557 62.736723) (xy 41.086279 62.641278) (xy 40.961727 62.58956) (xy 40.826865 62.589442) (xy 40.318865 62.589442) + (xy 40.194223 62.640943) (xy 40.098778 62.736221) (xy 40.04706 62.860773) (xy 40.046942 62.995635) (xy 40.046942 64.138635) + (xy 40.098443 64.263277) (xy 40.193721 64.358722) (xy 40.318273 64.41044) (xy 40.453135 64.410558) (xy 40.961135 64.410558) + (xy 41.085777 64.359057) (xy 41.181222 64.263779) (xy 41.23294 64.139227) (xy 41.233058 64.004365) (xy 41.233058 64.816) + (xy 40.64 64.816) (xy 40.414599 64.860835) (xy 40.223514 64.988514) (xy 39.963058 65.24897) (xy 39.963058 64.004365) + (xy 39.963058 62.861365) (xy 39.911557 62.736723) (xy 39.816279 62.641278) (xy 39.691727 62.58956) (xy 39.556865 62.589442) + (xy 39.048865 62.589442) (xy 39.010558 62.60527) (xy 39.010558 60.067365) (xy 39.010558 59.432365) (xy 39.010558 58.543365) + (xy 39.010558 57.908365) (xy 38.959057 57.783723) (xy 38.863779 57.688278) (xy 38.739227 57.63656) (xy 38.689 57.636516) + (xy 38.689 56.835495) (xy 38.692222 56.832279) (xy 38.74394 56.707727) (xy 38.744058 56.572865) (xy 38.744058 55.052865) + (xy 38.692557 54.928223) (xy 38.597279 54.832778) (xy 38.472727 54.78106) (xy 38.337865 54.780942) (xy 37.727865 54.780942) + (xy 37.603223 54.832443) (xy 37.507778 54.927721) (xy 37.45606 55.052273) (xy 37.455942 55.187135) (xy 37.455942 56.707135) + (xy 37.507443 56.831777) (xy 37.511 56.83534) (xy 37.511 57.636442) (xy 37.461365 57.636442) (xy 37.336723 57.687943) + (xy 37.241278 57.783221) (xy 37.18956 57.907773) (xy 37.189442 58.042635) (xy 37.189442 58.677635) (xy 37.240943 58.802277) + (xy 37.336221 58.897722) (xy 37.460773 58.94944) (xy 37.595635 58.949558) (xy 38.738635 58.949558) (xy 38.863277 58.898057) + (xy 38.958722 58.802779) (xy 39.01044 58.678227) (xy 39.010558 58.543365) (xy 39.010558 59.432365) (xy 38.959057 59.307723) + (xy 38.863779 59.212278) (xy 38.739227 59.16056) (xy 38.604365 59.160442) (xy 37.461365 59.160442) (xy 37.336723 59.211943) + (xy 37.241278 59.307221) (xy 37.18956 59.431773) (xy 37.189442 59.566635) (xy 37.189442 60.201635) (xy 37.240943 60.326277) + (xy 37.253336 60.338691) (xy 36.413514 61.178514) (xy 36.285835 61.369599) (xy 36.241 61.595) (xy 36.241 61.641) + (xy 34.925 61.641) (xy 34.699599 61.685835) (xy 34.508514 61.813514) (xy 34.508511 61.813517) (xy 34.394128 61.9279) + (xy 34.394128 60.813649) (xy 34.394128 58.908649) (xy 34.281859 58.636937) (xy 34.074157 58.428871) (xy 33.802641 58.316128) + (xy 33.508649 58.315872) (xy 33.236937 58.428141) (xy 33.199011 58.466) (xy 32.533558 58.466) (xy 32.533558 58.416365) + (xy 32.482057 58.291723) (xy 32.449543 58.259152) (xy 32.449543 57.671) (xy 32.449543 56.629) (xy 32.449455 56.527555) + (xy 32.410552 56.433866) (xy 32.338757 56.362197) (xy 32.245 56.323457) (xy 32.0995 56.3235) (xy 32.03575 56.38725) + (xy 32.03575 56.86425) (xy 32.38575 56.86425) (xy 32.4495 56.8005) (xy 32.449543 56.629) (xy 32.449543 57.671) + (xy 32.4495 57.4995) (xy 32.38575 57.43575) (xy 32.03575 57.43575) (xy 32.03575 57.91275) (xy 32.0995 57.9765) + (xy 32.245 57.976543) (xy 32.338757 57.937803) (xy 32.410552 57.866134) (xy 32.449455 57.772445) (xy 32.449543 57.671) + (xy 32.449543 58.259152) (xy 32.386779 58.196278) (xy 32.262227 58.14456) (xy 32.127365 58.144442) (xy 31.71825 58.144442) + (xy 31.71825 57.91275) (xy 31.71825 57.43575) (xy 31.71825 56.86425) (xy 31.71825 56.38725) (xy 31.6545 56.3235) + (xy 31.509 56.323457) (xy 31.415243 56.362197) (xy 31.343448 56.433866) (xy 31.304545 56.527555) (xy 31.304457 56.629) + (xy 31.3045 56.8005) (xy 31.36825 56.86425) (xy 31.71825 56.86425) (xy 31.71825 57.43575) (xy 31.36825 57.43575) + (xy 31.3045 57.4995) (xy 31.304457 57.671) (xy 31.304545 57.772445) (xy 31.343448 57.866134) (xy 31.415243 57.937803) + (xy 31.509 57.976543) (xy 31.6545 57.9765) (xy 31.71825 57.91275) (xy 31.71825 58.144442) (xy 31.492365 58.144442) + (xy 31.367723 58.195943) (xy 31.272278 58.291221) (xy 31.22056 58.415773) (xy 31.220442 58.550635) (xy 31.220442 59.693635) + (xy 31.271943 59.818277) (xy 31.367221 59.913722) (xy 31.491773 59.96544) (xy 31.626635 59.965558) (xy 32.261635 59.965558) + (xy 32.386277 59.914057) (xy 32.481722 59.818779) (xy 32.53344 59.694227) (xy 32.533483 59.644) (xy 33.198778 59.644) + (xy 33.235843 59.681129) (xy 33.507359 59.793872) (xy 33.801351 59.794128) (xy 34.073063 59.681859) (xy 34.281129 59.474157) + (xy 34.393872 59.202641) (xy 34.394128 58.908649) (xy 34.394128 60.813649) (xy 34.281859 60.541937) (xy 34.074157 60.333871) + (xy 33.802641 60.221128) (xy 33.508649 60.220872) (xy 33.236937 60.333141) (xy 33.199011 60.371) (xy 32.533558 60.371) + (xy 32.533558 60.321365) (xy 32.482057 60.196723) (xy 32.386779 60.101278) (xy 32.262227 60.04956) (xy 32.127365 60.049442) + (xy 31.492365 60.049442) (xy 31.367723 60.100943) (xy 31.272278 60.196221) (xy 31.22056 60.320773) (xy 31.220442 60.455635) + (xy 31.220442 61.598635) (xy 31.271943 61.723277) (xy 31.367221 61.818722) (xy 31.491773 61.87044) (xy 31.626635 61.870558) + (xy 32.261635 61.870558) (xy 32.386277 61.819057) (xy 32.481722 61.723779) (xy 32.53344 61.599227) (xy 32.533483 61.549) + (xy 33.198778 61.549) (xy 33.235843 61.586129) (xy 33.507359 61.698872) (xy 33.801351 61.699128) (xy 34.073063 61.586859) + (xy 34.281129 61.379157) (xy 34.393872 61.107641) (xy 34.394128 60.813649) (xy 34.394128 61.9279) (xy 34.046028 62.276) + (xy 31.009558 62.276) (xy 31.009558 61.464365) (xy 31.009558 60.321365) (xy 31.009558 59.559365) (xy 31.009558 58.416365) + (xy 31.009558 57.654365) (xy 31.009558 56.511365) (xy 30.958057 56.386723) (xy 30.862779 56.291278) (xy 30.738227 56.23956) + (xy 30.603365 56.239442) (xy 29.968365 56.239442) (xy 29.843723 56.290943) (xy 29.748278 56.386221) (xy 29.69656 56.510773) + (xy 29.696516 56.561) (xy 29.358558 56.561) (xy 29.358558 56.511365) (xy 29.307057 56.386723) (xy 29.211779 56.291278) + (xy 29.087227 56.23956) (xy 28.952365 56.239442) (xy 28.317365 56.239442) (xy 28.192723 56.290943) (xy 28.097278 56.386221) + (xy 28.04556 56.510773) (xy 28.045442 56.645635) (xy 28.045442 57.788635) (xy 28.096943 57.913277) (xy 28.192221 58.008722) + (xy 28.316773 58.06044) (xy 28.451635 58.060558) (xy 29.086635 58.060558) (xy 29.211277 58.009057) (xy 29.306722 57.913779) + (xy 29.35844 57.789227) (xy 29.358483 57.739) (xy 29.696442 57.739) (xy 29.696442 57.788635) (xy 29.747943 57.913277) + (xy 29.843221 58.008722) (xy 29.967773 58.06044) (xy 30.102635 58.060558) (xy 30.737635 58.060558) (xy 30.862277 58.009057) + (xy 30.957722 57.913779) (xy 31.00944 57.789227) (xy 31.009558 57.654365) (xy 31.009558 58.416365) (xy 30.958057 58.291723) + (xy 30.862779 58.196278) (xy 30.738227 58.14456) (xy 30.603365 58.144442) (xy 29.968365 58.144442) (xy 29.843723 58.195943) + (xy 29.748278 58.291221) (xy 29.69656 58.415773) (xy 29.696516 58.466) (xy 29.358558 58.466) (xy 29.358558 58.416365) + (xy 29.307057 58.291723) (xy 29.211779 58.196278) (xy 29.087227 58.14456) (xy 28.952365 58.144442) (xy 28.317365 58.144442) + (xy 28.192723 58.195943) (xy 28.097278 58.291221) (xy 28.04556 58.415773) (xy 28.045442 58.550635) (xy 28.045442 59.693635) + (xy 28.096943 59.818277) (xy 28.192221 59.913722) (xy 28.316773 59.96544) (xy 28.451635 59.965558) (xy 29.086635 59.965558) + (xy 29.211277 59.914057) (xy 29.306722 59.818779) (xy 29.35844 59.694227) (xy 29.358483 59.644) (xy 29.696442 59.644) + (xy 29.696442 59.693635) (xy 29.747943 59.818277) (xy 29.843221 59.913722) (xy 29.967773 59.96544) (xy 30.102635 59.965558) + (xy 30.737635 59.965558) (xy 30.862277 59.914057) (xy 30.957722 59.818779) (xy 31.00944 59.694227) (xy 31.009558 59.559365) + (xy 31.009558 60.321365) (xy 30.958057 60.196723) (xy 30.862779 60.101278) (xy 30.738227 60.04956) (xy 30.603365 60.049442) + (xy 29.968365 60.049442) (xy 29.843723 60.100943) (xy 29.748278 60.196221) (xy 29.69656 60.320773) (xy 29.696516 60.371) + (xy 29.358558 60.371) (xy 29.358558 60.321365) (xy 29.307057 60.196723) (xy 29.211779 60.101278) (xy 29.087227 60.04956) + (xy 28.952365 60.049442) (xy 28.317365 60.049442) (xy 28.192723 60.100943) (xy 28.097278 60.196221) (xy 28.04556 60.320773) + (xy 28.045442 60.455635) (xy 28.045442 61.598635) (xy 28.096943 61.723277) (xy 28.192221 61.818722) (xy 28.316773 61.87044) + (xy 28.451635 61.870558) (xy 29.086635 61.870558) (xy 29.211277 61.819057) (xy 29.306722 61.723779) (xy 29.35844 61.599227) + (xy 29.358483 61.549) (xy 29.696442 61.549) (xy 29.696442 61.598635) (xy 29.747943 61.723277) (xy 29.843221 61.818722) + (xy 29.967773 61.87044) (xy 30.102635 61.870558) (xy 30.737635 61.870558) (xy 30.862277 61.819057) (xy 30.957722 61.723779) + (xy 31.00944 61.599227) (xy 31.009558 61.464365) (xy 31.009558 62.276) (xy 28.183971 62.276) (xy 27.894 61.986028) + (xy 27.894 61.087) (xy 27.849165 60.8616) (xy 27.849165 60.861599) (xy 27.834558 60.839738) (xy 27.834558 60.321365) + (xy 27.783057 60.196723) (xy 27.767 60.180637) (xy 27.767 59.834473) (xy 27.782722 59.818779) (xy 27.83444 59.694227) + (xy 27.834558 59.559365) (xy 27.834558 58.416365) (xy 27.783057 58.291723) (xy 27.767 58.275637) (xy 27.767 57.929473) + (xy 27.782722 57.913779) (xy 27.83444 57.789227) (xy 27.834558 57.654365) (xy 27.834558 56.511365) (xy 27.783057 56.386723) + (xy 27.687779 56.291278) (xy 27.563227 56.23956) (xy 27.428365 56.239442) (xy 26.793365 56.239442) (xy 26.668723 56.290943) + (xy 26.573278 56.386221) (xy 26.52156 56.510773) (xy 26.521442 56.645635) (xy 26.521442 57.788635) (xy 26.572943 57.913277) + (xy 26.589 57.929362) (xy 26.589 58.275526) (xy 26.573278 58.291221) (xy 26.52156 58.415773) (xy 26.521442 58.550635) + (xy 26.521442 59.693635) (xy 26.572943 59.818277) (xy 26.589 59.834362) (xy 26.589 60.180526) (xy 26.573278 60.196221) + (xy 26.52156 60.320773) (xy 26.521442 60.455635) (xy 26.521442 61.598635) (xy 26.572943 61.723277) (xy 26.668221 61.818722) + (xy 26.716 61.838561) (xy 26.716 62.23) (xy 26.760835 62.455401) (xy 26.888514 62.646486) (xy 27.523511 63.281482) + (xy 27.523514 63.281486) (xy 27.714599 63.409165) (xy 27.94 63.454) (xy 34.29 63.454) (xy 34.5154 63.409165) + (xy 34.515401 63.409165) (xy 34.706486 63.281486) (xy 35.168971 62.819) (xy 36.241 62.819) (xy 36.241 62.851284) + (xy 36.23706 62.860773) (xy 36.236942 62.995635) (xy 36.236942 64.138635) (xy 36.288443 64.263277) (xy 36.383721 64.358722) + (xy 36.508273 64.41044) (xy 36.643135 64.410558) (xy 37.151135 64.410558) (xy 37.275777 64.359057) (xy 37.371222 64.263779) + (xy 37.42294 64.139227) (xy 37.423058 64.004365) (xy 37.423058 62.861365) (xy 37.419 62.851543) (xy 37.419 62.686221) + (xy 37.456129 62.649157) (xy 37.568872 62.377641) (xy 37.569128 62.083649) (xy 37.456859 61.811937) (xy 37.451451 61.80652) + (xy 38.516486 60.741486) (xy 38.644165 60.550401) (xy 38.644165 60.5504) (xy 38.659449 60.473558) (xy 38.65945 60.473558) + (xy 38.738635 60.473558) (xy 38.863277 60.422057) (xy 38.958722 60.326779) (xy 39.01044 60.202227) (xy 39.010558 60.067365) + (xy 39.010558 62.60527) (xy 38.924223 62.640943) (xy 38.828778 62.736221) (xy 38.77706 62.860773) (xy 38.776942 62.995635) + (xy 38.776942 64.138635) (xy 38.828443 64.263277) (xy 38.923721 64.358722) (xy 39.048273 64.41044) (xy 39.183135 64.410558) + (xy 39.691135 64.410558) (xy 39.815777 64.359057) (xy 39.911222 64.263779) (xy 39.96294 64.139227) (xy 39.963058 64.004365) + (xy 39.963058 65.24897) (xy 38.953514 66.258514) (xy 38.825835 66.449599) (xy 38.781 66.675) (xy 38.781 68.185284) + (xy 38.77706 68.194773) (xy 38.776942 68.329635) (xy 38.776942 69.472635) (xy 38.828443 69.597277) (xy 38.923721 69.692722) + (xy 39.048273 69.74444) (xy 39.183135 69.744558) (xy 39.691135 69.744558) (xy 39.815777 69.693057) (xy 39.911222 69.597779) + (xy 39.96294 69.473227) (xy 39.963058 69.338365) (xy 39.963058 68.195365) (xy 39.959 68.185543) (xy 39.959 66.918972) + (xy 40.883972 65.994) (xy 45.085 65.994) (xy 45.3104 65.949165) (xy 45.310401 65.949165) (xy 45.501486 65.821486) + (xy 46.136482 65.186488) (xy 46.136485 65.186486) (xy 46.136486 65.186486) (xy 46.264165 64.995401) (xy 46.309 64.77) + (xy 46.309 61.416221) (xy 46.346129 61.379157) (xy 46.401 61.247012) (xy 46.401 65.161028) (xy 45.476028 66.086) + (xy 42.545 66.086) (xy 42.319599 66.130835) (xy 42.128514 66.258514) (xy 42.128511 66.258517) (xy 41.493514 66.893514) + (xy 41.365835 67.084599) (xy 41.321 67.31) (xy 41.321 68.185284) (xy 41.31706 68.194773) (xy 41.316942 68.329635) + (xy 41.316942 69.472635) (xy 41.368443 69.597277) (xy 41.463721 69.692722) (xy 41.588273 69.74444) (xy 41.723135 69.744558) + (xy 42.231135 69.744558) (xy 42.355777 69.693057) (xy 42.451222 69.597779) (xy 42.50294 69.473227) (xy 42.503058 69.338365) + (xy 42.503058 68.195365) (xy 42.499 68.185543) (xy 42.499 67.553971) (xy 42.788971 67.264) (xy 45.72 67.264) + (xy 45.9454 67.219165) (xy 45.945401 67.219165) (xy 46.136486 67.091486) (xy 47.406486 65.821486) (xy 47.534165 65.630401) + (xy 47.534165 65.6304) (xy 47.579 65.405) (xy 47.579 60.325) (xy 47.579 59.69) (xy 47.579 59.644) + (xy 48.111442 59.644) (xy 48.111442 59.693635) (xy 48.162943 59.818277) (xy 48.258221 59.913722) (xy 48.382773 59.96544) + (xy 48.517635 59.965558) (xy 49.152635 59.965558) (xy 49.277277 59.914057) (xy 49.372722 59.818779) (xy 49.42444 59.694227) + (xy 49.424558 59.559365) (xy 49.424558 70.916) (xy 48.607525 70.916) (xy 47.829 70.137474) (xy 47.829 69.871466) + (xy 47.848722 69.851779) (xy 47.90044 69.727227) (xy 47.900558 69.592365) (xy 47.900558 68.957365) (xy 47.849057 68.832723) + (xy 47.816543 68.800152) (xy 47.816543 68.186) (xy 47.816543 67.45) (xy 47.777803 67.356243) (xy 47.706134 67.284448) + (xy 47.612445 67.245545) (xy 47.511 67.245457) (xy 47.3395 67.2455) (xy 47.27575 67.30925) (xy 47.27575 67.65925) + (xy 47.75275 67.65925) (xy 47.8165 67.5955) (xy 47.816543 67.45) (xy 47.816543 68.186) (xy 47.8165 68.0405) + (xy 47.75275 67.97675) (xy 47.27575 67.97675) (xy 47.27575 68.32675) (xy 47.3395 68.3905) (xy 47.511 68.390543) + (xy 47.612445 68.390455) (xy 47.706134 68.351552) (xy 47.777803 68.279757) (xy 47.816543 68.186) (xy 47.816543 68.800152) + (xy 47.753779 68.737278) (xy 47.629227 68.68556) (xy 47.494365 68.685442) (xy 47.488534 68.685442) (xy 47.311071 68.566865) + (xy 46.99 68.503) (xy 46.70425 68.559839) (xy 46.70425 68.32675) (xy 46.70425 67.97675) (xy 46.70425 67.65925) + (xy 46.70425 67.30925) (xy 46.6405 67.2455) (xy 46.469 67.245457) (xy 46.367555 67.245545) (xy 46.273866 67.284448) + (xy 46.202197 67.356243) (xy 46.163457 67.45) (xy 46.1635 67.5955) (xy 46.22725 67.65925) (xy 46.70425 67.65925) + (xy 46.70425 67.97675) (xy 46.22725 67.97675) (xy 46.1635 68.0405) (xy 46.163457 68.186) (xy 46.202197 68.279757) + (xy 46.273866 68.351552) (xy 46.367555 68.390455) (xy 46.469 68.390543) (xy 46.6405 68.3905) (xy 46.70425 68.32675) + (xy 46.70425 68.559839) (xy 46.668929 68.566865) (xy 46.491465 68.685442) (xy 46.351365 68.685442) (xy 46.226723 68.736943) + (xy 46.131278 68.832221) (xy 46.07956 68.956773) (xy 46.079442 69.091635) (xy 46.079442 69.726635) (xy 46.130943 69.851277) + (xy 46.151 69.871369) (xy 46.151 70.484994) (xy 46.150999 70.485) (xy 46.214865 70.806072) (xy 46.396737 71.078263) + (xy 47.421 72.102525) (xy 47.421 73.025) (xy 47.421 73.483657) (xy 46.990537 73.661521) (xy 46.358739 74.292217) + (xy 46.016391 75.116683) (xy 46.015612 76.009401) (xy 46.356521 76.834463) (xy 46.987217 77.466261) (xy 47.811683 77.808609) + (xy 48.704401 77.809388) (xy 49.529463 77.468479) (xy 50.161261 76.837783) (xy 50.503609 76.013317) (xy 50.504388 75.120599) + (xy 50.163479 74.295537) (xy 49.532783 73.663739) (xy 49.099 73.483616) (xy 49.099 73.025) (xy 49.099 72.594) + (xy 52.07 72.594) (xy 53.974994 72.594) (xy 53.975 72.594001) (xy 53.975 72.594) (xy 54.296071 72.530135) + (xy 54.296072 72.530135) (xy 54.568263 72.348263) (xy 55.203259 71.713265) (xy 55.203262 71.713263) (xy 55.203263 71.713263) + (xy 55.385134 71.441072) (xy 55.385135 71.441071) (xy 55.449 71.12) (xy 55.449 62.23) (xy 55.449 59.055) + (xy 55.449 55.245) (xy 55.385135 54.923929) (xy 55.385135 54.923928) (xy 55.312287 54.814903) (xy 55.203263 54.651737) + (xy 55.203259 54.651734) (xy 53.976525 53.425) (xy 57.48 53.425) (xy 57.48 75.528414) + ) + ) + ) + (zone (net 3) (net_name BUS_GND) (layer B.Cu) (tstamp 555F21C1) (hatch edge 0.508) + (connect_pads (clearance 0.17)) + (min_thickness 0.17) + (fill (arc_segments 16) (thermal_gap 0.17) (thermal_bridge_width 2)) + (polygon + (pts + (xy 23.495 25.4) (xy 23.495 52.07) (xy 57.785 52.07) (xy 57.785 25.4) + ) + ) + (filled_polygon + (pts + (xy 57.48 51.985) (xy 56.564448 51.985) (xy 56.564448 28.697276) (xy 56.171127 27.745366) (xy 55.443465 27.016433) + (xy 54.492243 26.621451) (xy 53.462276 26.620552) (xy 52.510366 27.013873) (xy 51.781433 27.741535) (xy 51.386451 28.692757) + (xy 51.385552 29.722724) (xy 51.778873 30.674634) (xy 52.506535 31.403567) (xy 53.457757 31.798549) (xy 54.487724 31.799448) + (xy 55.439634 31.406127) (xy 56.168567 30.678465) (xy 56.563549 29.727243) (xy 56.564448 28.697276) (xy 56.564448 51.985) + (xy 53.409231 51.985) (xy 53.332032 51.985) (xy 53.327253 51.985) (xy 53.332032 51.980221) (xy 53.409231 51.903022) + (xy 53.620631 51.691622) (xy 53.409231 51.480222) (xy 53.409231 48.629825) (xy 53.20581 48.137509) (xy 52.829473 47.760513) + (xy 52.337512 47.556233) (xy 51.804825 47.555769) (xy 51.312509 47.75919) (xy 50.935513 48.135527) (xy 50.731233 48.627488) + (xy 50.730769 49.160175) (xy 50.93419 49.652491) (xy 51.310527 50.029487) (xy 51.750857 50.212327) (xy 51.63989 50.297783) + (xy 52.07 50.727893) (xy 52.50011 50.297783) (xy 52.388808 50.21207) (xy 52.827491 50.03081) (xy 53.204487 49.654473) + (xy 53.408767 49.162512) (xy 53.409231 48.629825) (xy 53.409231 51.480222) (xy 53.378149 51.449141) (xy 53.332032 51.495258) + (xy 53.323482 51.503807) (xy 53.332032 51.223779) (xy 53.316796 51.147183) (xy 53.207217 51.00489) (xy 52.777107 51.435) + (xy 53.084698 51.742591) (xy 52.84229 51.985) (xy 51.362893 51.985) (xy 51.29771 51.985) (xy 51.055301 51.742591) + (xy 51.362893 51.435) (xy 50.932783 51.00489) (xy 50.823204 51.147183) (xy 50.81244 51.49973) (xy 50.761851 51.449141) + (xy 50.519369 51.691622) (xy 50.812747 51.985) (xy 47.245043 51.985) (xy 47.245043 30.4295) (xy 47.245043 27.9905) + (xy 47.244955 27.889055) (xy 47.206052 27.795366) (xy 47.134257 27.723697) (xy 47.0405 27.684957) (xy 46.41875 27.685) + (xy 46.355 27.74875) (xy 46.355 28.575) (xy 47.18125 28.575) (xy 47.245 28.51125) (xy 47.245043 27.9905) + (xy 47.245043 30.4295) (xy 47.245 29.90875) (xy 47.18125 29.845) (xy 46.355 29.845) (xy 46.355 30.67125) + (xy 46.41875 30.735) (xy 47.0405 30.735043) (xy 47.134257 30.696303) (xy 47.206052 30.624634) (xy 47.244955 30.530945) + (xy 47.245043 30.4295) (xy 47.245043 51.985) (xy 45.085 51.985) (xy 45.085 30.67125) (xy 45.085 29.845) + (xy 45.085 28.575) (xy 45.085 27.74875) (xy 45.02125 27.685) (xy 44.3995 27.684957) (xy 44.305743 27.723697) + (xy 44.233948 27.795366) (xy 44.195045 27.889055) (xy 44.194957 27.9905) (xy 44.195 28.51125) (xy 44.25875 28.575) + (xy 45.085 28.575) (xy 45.085 29.845) (xy 44.25875 29.845) (xy 44.195 29.90875) (xy 44.194957 30.4295) + (xy 44.195045 30.530945) (xy 44.233948 30.624634) (xy 44.305743 30.696303) (xy 44.3995 30.735043) (xy 45.02125 30.735) + (xy 45.085 30.67125) (xy 45.085 51.985) (xy 43.284128 51.985) (xy 43.284128 34.778649) (xy 43.171859 34.506937) + (xy 42.964157 34.298871) (xy 42.692641 34.186128) (xy 42.398649 34.185872) (xy 42.249279 34.24759) (xy 42.249279 28.891354) + (xy 42.004839 28.299766) (xy 41.552615 27.846752) (xy 40.961454 27.60128) (xy 40.321354 27.600721) (xy 39.729766 27.845161) + (xy 39.276752 28.297385) (xy 39.03128 28.888546) (xy 39.030721 29.528646) (xy 39.275161 30.120234) (xy 39.727385 30.573248) + (xy 40.318546 30.81872) (xy 40.958646 30.819279) (xy 41.550234 30.574839) (xy 42.003248 30.122615) (xy 42.24872 29.531454) + (xy 42.249279 28.891354) (xy 42.249279 34.24759) (xy 42.126937 34.298141) (xy 42.089011 34.336) (xy 40.461221 34.336) + (xy 40.424157 34.298871) (xy 40.152641 34.186128) (xy 39.858649 34.185872) (xy 39.586937 34.298141) (xy 39.378871 34.505843) + (xy 39.266128 34.777359) (xy 39.265872 35.071351) (xy 39.378141 35.343063) (xy 39.585843 35.551129) (xy 39.857359 35.663872) + (xy 40.151351 35.664128) (xy 40.423063 35.551859) (xy 40.460988 35.514) (xy 42.088778 35.514) (xy 42.125843 35.551129) + (xy 42.397359 35.663872) (xy 42.691351 35.664128) (xy 42.963063 35.551859) (xy 43.171129 35.344157) (xy 43.283872 35.072641) + (xy 43.284128 34.778649) (xy 43.284128 51.985) (xy 42.014128 51.985) (xy 42.014128 36.683649) (xy 41.901859 36.411937) + (xy 41.694157 36.203871) (xy 41.422641 36.091128) (xy 41.128649 36.090872) (xy 40.856937 36.203141) (xy 40.819011 36.241) + (xy 39.191221 36.241) (xy 39.154157 36.203871) (xy 38.882641 36.091128) (xy 38.588649 36.090872) (xy 38.316937 36.203141) + (xy 38.108871 36.410843) (xy 37.996128 36.682359) (xy 37.995872 36.976351) (xy 38.108141 37.248063) (xy 38.146 37.285988) + (xy 38.146 39.761028) (xy 37.221028 40.686) (xy 37.169279 40.686) (xy 37.169279 28.891354) (xy 36.924839 28.299766) + (xy 36.472615 27.846752) (xy 35.881454 27.60128) (xy 35.241354 27.600721) (xy 34.649766 27.845161) (xy 34.196752 28.297385) + (xy 33.95128 28.888546) (xy 33.950721 29.528646) (xy 34.195161 30.120234) (xy 34.647385 30.573248) (xy 35.238546 30.81872) + (xy 35.878646 30.819279) (xy 36.470234 30.574839) (xy 36.923248 30.122615) (xy 37.16872 29.531454) (xy 37.169279 28.891354) + (xy 37.169279 40.686) (xy 32.85119 40.686) (xy 32.85119 38.516959) (xy 32.683926 38.112149) (xy 32.37448 37.802163) + (xy 31.969963 37.634192) (xy 31.531959 37.63381) (xy 31.127149 37.801074) (xy 30.817163 38.11052) (xy 30.649192 38.515037) + (xy 30.64881 38.953041) (xy 30.816074 39.357851) (xy 31.12552 39.667837) (xy 31.530037 39.835808) (xy 31.968041 39.83619) + (xy 32.372851 39.668926) (xy 32.682837 39.35948) (xy 32.850808 38.954963) (xy 32.85119 38.516959) (xy 32.85119 40.686) + (xy 32.851058 40.686) (xy 32.851058 40.445865) (xy 32.799557 40.321223) (xy 32.704279 40.225778) (xy 32.579727 40.17406) + (xy 32.444865 40.173942) (xy 30.920865 40.173942) (xy 30.796223 40.225443) (xy 30.700778 40.320721) (xy 30.64906 40.445273) + (xy 30.648942 40.580135) (xy 30.648942 42.104135) (xy 30.700443 42.228777) (xy 30.795721 42.324222) (xy 30.920273 42.37594) + (xy 31.055135 42.376058) (xy 32.579135 42.376058) (xy 32.703777 42.324557) (xy 32.799222 42.229279) (xy 32.85094 42.104727) + (xy 32.851058 41.969865) (xy 32.851058 41.864) (xy 37.465 41.864) (xy 37.6904 41.819165) (xy 37.690401 41.819165) + (xy 37.881486 41.691486) (xy 39.151486 40.421486) (xy 39.279165 40.230401) (xy 39.279165 40.2304) (xy 39.324 40.005) + (xy 39.324 37.419) (xy 40.818778 37.419) (xy 40.855843 37.456129) (xy 41.127359 37.568872) (xy 41.421351 37.569128) + (xy 41.693063 37.456859) (xy 41.901129 37.249157) (xy 42.013872 36.977641) (xy 42.014128 36.683649) (xy 42.014128 51.985) + (xy 29.894448 51.985) (xy 29.894448 28.697276) (xy 29.501127 27.745366) (xy 28.773465 27.016433) (xy 27.822243 26.621451) + (xy 26.792276 26.620552) (xy 25.840366 27.013873) (xy 25.111433 27.741535) (xy 24.716451 28.692757) (xy 24.715552 29.722724) + (xy 25.108873 30.674634) (xy 25.836535 31.403567) (xy 26.787757 31.798549) (xy 27.817724 31.799448) (xy 28.769634 31.406127) + (xy 29.498567 30.678465) (xy 29.893549 29.727243) (xy 29.894448 28.697276) (xy 29.894448 51.985) (xy 23.8 51.985) + (xy 23.8 28.630701) (xy 24.291309 27.330491) (xy 25.23997 26.32027) (xy 26.503008 25.749986) (xy 27.939247 25.70485) + (xy 27.94 25.705) (xy 53.335193 25.705) (xy 54.776912 25.750306) (xy 56.039839 26.32054) (xy 56.98841 27.330666) + (xy 57.48 28.63162) (xy 57.48 51.985) + ) + ) + ) + (zone (net 4) (net_name GND) (layer B.Cu) (tstamp 555F2469) (hatch edge 0.508) + (connect_pads (clearance 0.17)) + (min_thickness 0.17) + (fill (arc_segments 16) (thermal_gap 0.17) (thermal_bridge_width 2)) + (polygon + (pts + (xy 23.495 53.34) (xy 23.495 79.375) (xy 57.785 79.375) (xy 57.785 53.34) + ) + ) + (filled_polygon + (pts + (xy 57.48 75.528414) (xy 57.208232 76.900943) (xy 56.564448 77.866256) (xy 56.564448 75.052276) (xy 56.171127 74.100366) + (xy 55.443465 73.371433) (xy 55.349128 73.33226) (xy 55.349128 62.083649) (xy 55.236859 61.811937) (xy 55.029157 61.603871) + (xy 54.757641 61.491128) (xy 54.463649 61.490872) (xy 54.191937 61.603141) (xy 54.154011 61.641) (xy 53.325043 61.641) + (xy 53.325043 57.5655) (xy 53.325 57.07875) (xy 53.26125 57.015) (xy 52.57 57.015) (xy 52.57 57.70625) + (xy 52.63375 57.77) (xy 53.0195 57.770043) (xy 53.120945 57.769955) (xy 53.214634 57.731052) (xy 53.286303 57.659257) + (xy 53.325043 57.5655) (xy 53.325043 61.641) (xy 51.57 61.641) (xy 51.57 57.70625) (xy 51.57 57.015) + (xy 50.87875 57.015) (xy 50.815 57.07875) (xy 50.814957 57.5655) (xy 50.853697 57.659257) (xy 50.925366 57.731052) + (xy 51.019055 57.769955) (xy 51.1205 57.770043) (xy 51.50625 57.77) (xy 51.57 57.70625) (xy 51.57 61.641) + (xy 47.729128 61.641) (xy 47.729128 58.908649) (xy 47.616859 58.636937) (xy 47.409157 58.428871) (xy 47.137641 58.316128) + (xy 46.843649 58.315872) (xy 46.571937 58.428141) (xy 46.534011 58.466) (xy 34.111221 58.466) (xy 34.074157 58.428871) + (xy 33.802641 58.316128) (xy 33.508649 58.315872) (xy 33.236937 58.428141) (xy 33.028871 58.635843) (xy 32.916128 58.907359) + (xy 32.915872 59.201351) (xy 33.028141 59.473063) (xy 33.235843 59.681129) (xy 33.507359 59.793872) (xy 33.801351 59.794128) + (xy 34.073063 59.681859) (xy 34.110988 59.644) (xy 46.533778 59.644) (xy 46.570843 59.681129) (xy 46.842359 59.793872) + (xy 47.136351 59.794128) (xy 47.408063 59.681859) (xy 47.616129 59.474157) (xy 47.728872 59.202641) (xy 47.729128 58.908649) + (xy 47.729128 61.641) (xy 46.007031 61.641) (xy 46.138063 61.586859) (xy 46.346129 61.379157) (xy 46.458872 61.107641) + (xy 46.459128 60.813649) (xy 46.346859 60.541937) (xy 46.139157 60.333871) (xy 45.867641 60.221128) (xy 45.573649 60.220872) + (xy 45.301937 60.333141) (xy 45.264011 60.371) (xy 34.111221 60.371) (xy 34.074157 60.333871) (xy 33.802641 60.221128) + (xy 33.508649 60.220872) (xy 33.236937 60.333141) (xy 33.028871 60.540843) (xy 32.916128 60.812359) (xy 32.915872 61.106351) + (xy 33.028141 61.378063) (xy 33.235843 61.586129) (xy 33.507359 61.698872) (xy 33.801351 61.699128) (xy 34.073063 61.586859) + (xy 34.110988 61.549) (xy 36.542968 61.549) (xy 36.411937 61.603141) (xy 36.203871 61.810843) (xy 36.091128 62.082359) + (xy 36.090872 62.376351) (xy 36.203141 62.648063) (xy 36.410843 62.856129) (xy 36.682359 62.968872) (xy 36.976351 62.969128) + (xy 37.248063 62.856859) (xy 37.285988 62.819) (xy 54.153778 62.819) (xy 54.190843 62.856129) (xy 54.462359 62.968872) + (xy 54.756351 62.969128) (xy 55.028063 62.856859) (xy 55.236129 62.649157) (xy 55.348872 62.377641) (xy 55.349128 62.083649) + (xy 55.349128 73.33226) (xy 54.492243 72.976451) (xy 53.462276 72.975552) (xy 52.510366 73.368873) (xy 51.781433 74.096535) + (xy 51.386451 75.047757) (xy 51.385552 76.077724) (xy 51.778873 77.029634) (xy 52.506535 77.758567) (xy 53.457757 78.153549) + (xy 54.487724 78.154448) (xy 55.439634 77.761127) (xy 56.168567 77.033465) (xy 56.563549 76.082243) (xy 56.564448 75.052276) + (xy 56.564448 77.866256) (xy 56.447272 78.041954) (xy 55.311449 78.799454) (xy 53.945096 79.07) (xy 50.504388 79.07) + (xy 50.504388 75.120599) (xy 50.163479 74.295537) (xy 49.532783 73.663739) (xy 48.708317 73.321391) (xy 47.815599 73.320612) + (xy 46.990537 73.661521) (xy 46.358739 74.292217) (xy 46.016391 75.116683) (xy 46.015612 76.009401) (xy 46.356521 76.834463) + (xy 46.987217 77.466261) (xy 47.811683 77.808609) (xy 48.704401 77.809388) (xy 49.529463 77.468479) (xy 50.161261 76.837783) + (xy 50.503609 76.013317) (xy 50.504388 75.120599) (xy 50.504388 79.07) (xy 45.424388 79.07) (xy 45.424388 75.120599) + (xy 45.083479 74.295537) (xy 44.452783 73.663739) (xy 43.628317 73.321391) (xy 42.735599 73.320612) (xy 41.910537 73.661521) + (xy 41.278739 74.292217) (xy 40.936391 75.116683) (xy 40.935612 76.009401) (xy 41.276521 76.834463) (xy 41.907217 77.466261) + (xy 42.731683 77.808609) (xy 43.624401 77.809388) (xy 44.449463 77.468479) (xy 45.081261 76.837783) (xy 45.423609 76.013317) + (xy 45.424388 75.120599) (xy 45.424388 79.07) (xy 40.344388 79.07) (xy 40.344388 75.120599) (xy 40.003479 74.295537) + (xy 39.372783 73.663739) (xy 38.548317 73.321391) (xy 37.655599 73.320612) (xy 36.830537 73.661521) (xy 36.198739 74.292217) + (xy 35.856391 75.116683) (xy 35.855612 76.009401) (xy 36.196521 76.834463) (xy 36.827217 77.466261) (xy 37.651683 77.808609) + (xy 38.544401 77.809388) (xy 39.369463 77.468479) (xy 40.001261 76.837783) (xy 40.343609 76.013317) (xy 40.344388 75.120599) + (xy 40.344388 79.07) (xy 35.180043 79.07) (xy 35.180043 77.4195) (xy 35.180043 73.7105) (xy 35.179955 73.609055) + (xy 35.141052 73.515366) (xy 35.069257 73.443697) (xy 34.9755 73.404957) (xy 33.99875 73.405) (xy 33.935 73.46875) + (xy 33.935 74.65) (xy 35.11625 74.65) (xy 35.18 74.58625) (xy 35.180043 73.7105) (xy 35.180043 77.4195) + (xy 35.18 76.54375) (xy 35.11625 76.48) (xy 33.935 76.48) (xy 33.935 77.66125) (xy 33.99875 77.725) + (xy 34.9755 77.725043) (xy 35.069257 77.686303) (xy 35.141052 77.614634) (xy 35.179955 77.520945) (xy 35.180043 77.4195) + (xy 35.180043 79.07) (xy 32.105 79.07) (xy 32.105 77.66125) (xy 32.105 76.48) (xy 32.105 74.65) + (xy 32.105 73.46875) (xy 32.04125 73.405) (xy 31.0645 73.404957) (xy 30.970743 73.443697) (xy 30.898948 73.515366) + (xy 30.860045 73.609055) (xy 30.859957 73.7105) (xy 30.86 74.58625) (xy 30.92375 74.65) (xy 32.105 74.65) + (xy 32.105 76.48) (xy 30.92375 76.48) (xy 30.86 76.54375) (xy 30.859957 77.4195) (xy 30.860045 77.520945) + (xy 30.898948 77.614634) (xy 30.970743 77.686303) (xy 31.0645 77.725043) (xy 32.04125 77.725) (xy 32.105 77.66125) + (xy 32.105 79.07) (xy 29.894448 79.07) (xy 29.894448 75.052276) (xy 29.501127 74.100366) (xy 28.773465 73.371433) + (xy 27.822243 72.976451) (xy 26.792276 72.975552) (xy 25.840366 73.368873) (xy 25.111433 74.096535) (xy 24.716451 75.047757) + (xy 24.715552 76.077724) (xy 25.108873 77.029634) (xy 25.836535 77.758567) (xy 26.787757 78.153549) (xy 27.817724 78.154448) + (xy 28.769634 77.761127) (xy 29.498567 77.033465) (xy 29.893549 76.082243) (xy 29.894448 75.052276) (xy 29.894448 79.07) + (xy 26.72662 79.07) (xy 25.425666 78.57841) (xy 24.41554 77.629839) (xy 23.845306 76.366912) (xy 23.8 74.925193) + (xy 23.8 53.425) (xy 50.848532 53.425) (xy 50.731233 53.707488) (xy 50.730769 54.240175) (xy 50.93419 54.732491) + (xy 51.310527 55.109487) (xy 51.673002 55.26) (xy 51.50625 55.26) (xy 51.1205 55.259957) (xy 51.019055 55.260045) + (xy 50.925366 55.298948) (xy 50.853697 55.370743) (xy 50.814957 55.4645) (xy 50.815 55.95125) (xy 50.87875 56.015) + (xy 51.57 56.015) (xy 51.57 55.58) (xy 52.57 55.58) (xy 52.57 56.015) (xy 53.26125 56.015) + (xy 53.325 55.95125) (xy 53.325043 55.4645) (xy 53.286303 55.370743) (xy 53.214634 55.298948) (xy 53.120945 55.260045) + (xy 53.0195 55.259957) (xy 52.63375 55.26) (xy 52.466423 55.26) (xy 52.827491 55.11081) (xy 53.204487 54.734473) + (xy 53.408767 54.242512) (xy 53.409231 53.709825) (xy 53.291543 53.425) (xy 57.48 53.425) (xy 57.48 75.528414) + ) + ) + ) ) diff --git a/hardware/sss7modem.net b/hardware/sss7modem.net index c885efb..311bef5 100644 --- a/hardware/sss7modem.net +++ b/hardware/sss7modem.net @@ -1,7 +1,7 @@ (export (version D) (design (source /home/sebastian/projects/seidenstrasse/modems/hardware/sss7modem.sch) - (date "Thu 21 May 2015 03:25:53 PM CEST") + (date "Fri 22 May 2015 12:04:14 PM CEST") (tool "eeschema (2013-may-18)-stable")) (components (comp (ref R3) @@ -335,10 +335,10 @@ (uri /usr/share/kicad/library/interface.lib)) (library (logical opto) (uri /usr/share/kicad/library/opto.lib)) - (library (logical dcdc) - (uri libs/dcdc.lib)) (library (logical 74hct125) - (uri libs/74hct125.lib))) + (uri libs/74hct125.lib)) + (library (logical dcdc) + (uri libs/dcdc.lib))) (nets (net (code 1) (name BUS_GND) (node (ref D6) (pin 1)) @@ -390,13 +390,13 @@ (node (ref U2) (pin 5))) (net (code 9) (name RXDout) (node (ref U2) (pin 6)) - (node (ref P1) (pin 2))) + (node (ref P1) (pin 3))) (net (code 10) (name TXDin) (node (ref U2) (pin 2)) - (node (ref P1) (pin 3))) + (node (ref P1) (pin 2))) (net (code 11) (name +5V) - (node (ref P1) (pin 4)) (node (ref R6) (pin 1)) + (node (ref P1) (pin 4)) (node (ref C1) (pin 1)) (node (ref C2) (pin 1)) (node (ref R7) (pin 1)) diff --git a/hardware/sss7modem.pro b/hardware/sss7modem.pro index 0f6dbd7..d482d96 100644 --- a/hardware/sss7modem.pro +++ b/hardware/sss7modem.pro @@ -1,6 +1,6 @@ -update=Thu 21 May 2015 04:14:20 PM CEST +update=Fri 22 May 2015 12:10:08 PM CEST version=1 -last_client=pcbnew +last_client=cvpcb [general] version=1 [eeschema] @@ -44,11 +44,6 @@ LibName29=contrib LibName30=valves LibName31=dcdc LibName32=74hct125 -[cvpcb] -version=1 -NetIExt=net -[cvpcb/libraries] -EquName1=devcms [pcbnew] version=1 LastNetListRead=sss7modem.net @@ -69,7 +64,6 @@ DrawSegmentWidth=" 0.200000" BoardOutlineThickness=" 0.100000" ModuleOutlineThickness=" 0.150000" [pcbnew/libraries] -LibDir= LibName1=sockets LibName2=connect LibName3=discret @@ -88,3 +82,10 @@ LibName15=pga_sockets LibName16=valves LibName17=libs/smisitoto_eu/w_smd_diode LibName18=libs/smisitoto_eu/w_smd_dil +LibName19=libs/mfsop6 +LibDir= +[cvpcb] +version=1 +NetIExt=net +[cvpcb/libraries] +EquName1=devcms diff --git a/hardware/sss7modem.sch b/hardware/sss7modem.sch index 1dae546..53ca776 100644 --- a/hardware/sss7modem.sch +++ b/hardware/sss7modem.sch @@ -774,9 +774,9 @@ Wire Wire Line 2900 3550 2900 3600 Wire Wire Line 2900 3600 3100 3600 -Text GLabel 3100 3400 2 60 Input ~ 0 -TXDin Text GLabel 3100 3600 2 60 Input ~ 0 +TXDin +Text GLabel 3100 3400 2 60 Input ~ 0 RXDout Text Notes 7550 900 0 197 ~ 0 Bus side