First version of levelshifter board

This commit is contained in:
Sebastian 2014-03-16 22:02:08 +01:00
parent 7af62b1b1f
commit a3b04d53ac
14 changed files with 25100 additions and 794 deletions

View File

@ -3,7 +3,7 @@
(general
(links 81)
(no_connects 0)
(area 91.974999 28.900001 175.639999 101.76)
(area 91.974999 16.200001 175.639999 101.76)
(thickness 1.6)
(drawings 35)
(tracks 292)

View File

@ -1,4 +1,4 @@
EESchema-LIBRARY Version 2.3 Date: Wed 26 Feb 2014 10:33:39 PM CET
EESchema-LIBRARY Version 2.3 Date: Sun 09 Mar 2014 07:38:12 PM CET
#encoding utf-8
#
# +24V
@ -104,21 +104,22 @@ X PM 2 -350 -100 250 R 60 60 1 1 P I
ENDDRAW
ENDDEF
#
# CONN_6
# CONN_7
#
DEF CONN_6 P 0 30 Y N 1 F N
F0 "P" -50 0 60 V V C CNN
F1 "CONN_6" 50 0 60 V V C CNN
DEF CONN_7 P 0 40 Y N 1 F N
F0 "P" -30 0 60 V V C CNN
F1 "CONN_7" 70 0 60 V V C CNN
F2 "~" 0 0 60 H V C CNN
F3 "~" 0 0 60 H V C CNN
DRAW
S -100 300 100 -300 0 1 0 N
X 1 1 -350 250 250 R 60 60 1 1 P I
X 2 2 -350 150 250 R 60 60 1 1 P I
X 3 3 -350 50 250 R 60 60 1 1 P I
X 4 4 -350 -50 250 R 60 60 1 1 P I
X 5 5 -350 -150 250 R 60 60 1 1 P I
X 6 6 -350 -250 250 R 60 60 1 1 P I
S -100 350 150 -350 0 1 0 N
X P1 1 -350 300 250 R 50 50 1 1 P I
X P2 2 -350 200 250 R 50 50 1 1 P I
X P3 3 -350 100 250 R 50 50 1 1 P I
X P4 4 -350 0 250 R 50 50 1 1 P I
X P5 5 -350 -100 250 R 50 50 1 1 P I
X P6 6 -350 -200 250 R 50 50 1 1 P I
X P7 7 -350 -300 250 R 50 50 1 1 P I
ENDDRAW
ENDDEF
#
@ -189,6 +190,27 @@ X GND 1 0 0 0 U 30 30 1 1 W N
ENDDRAW
ENDDEF
#
# IRLR110
#
DEF IRLR110 Q 0 40 Y N 1 F N
F0 "Q" 0 190 60 H V R CNN
F1 "IRLR110" 0 -180 60 H V R CNN
F2 "~" 0 0 60 H V C CNN
F3 "~" 0 0 60 H V C CNN
DRAW
P 2 0 1 8 -50 -100 -50 100 N
P 2 0 1 10 0 -150 0 150 N
P 2 0 1 8 30 0 0 0 N
P 2 0 1 0 100 -100 0 -100 N
P 2 0 1 0 100 100 0 100 N
P 3 0 1 0 80 0 100 0 100 -100 N
P 5 0 1 8 30 40 30 -30 80 0 30 40 30 40 N
X G 1 -200 0 150 R 40 40 1 1 I
X D 2 100 200 100 D 40 40 1 1 P
X S 3 100 -200 100 U 40 40 1 1 P
ENDDRAW
ENDDEF
#
# LED
#
DEF LED D 0 40 Y N 1 F N
@ -236,25 +258,23 @@ X ~ 7 500 0 300 L 40 40 2 1 O
ENDDRAW
ENDDEF
#
# MOS_P
# NDS355
#
DEF MOS_P Q 0 40 Y N 1 F N
F0 "Q" 0 190 60 H V R CNN
F1 "MOS_P" 0 -180 60 H V R CNN
DEF NDS355 Q 0 0 N Y 1 F N
F0 "Q" 10 170 60 H V R CNN
F1 "NDS355" -50 -150 60 H V R CNN
F2 "~" 0 0 60 H V C CNN
F3 "~" 0 0 60 H V C CNN
ALIAS MOSFET_P
DRAW
P 2 0 1 8 -50 -100 -50 100 N
P 2 0 1 10 0 -150 0 150 N
P 2 0 1 8 30 0 0 0 N
P 2 0 1 0 100 -100 0 -100 N
P 2 0 1 0 100 100 0 100 N
P 3 0 1 0 80 0 100 0 100 -100 N
P 5 0 1 8 30 40 30 -30 80 0 30 40 30 40 N
X D D 100 200 100 D 40 40 1 1 P
X G G -200 0 150 R 40 40 1 1 I
X S S 100 -200 100 U 40 40 1 1 P
P 3 0 1 8 100 -100 100 0 50 0 N
P 5 0 1 8 50 30 50 -30 0 0 50 30 50 30 N
X G 1 -200 0 150 R 40 40 1 1 I
X D 2 100 200 100 D 40 40 1 1 P
X S 3 100 -200 100 U 40 40 1 1 P
ENDDRAW
ENDDEF
#

View File

@ -0,0 +1,248 @@
Cmp-Mod V01 Created by CvPcb (2013-may-18)-stable date = Wed 05 Mar 2014 05:29:32 PM CET
BeginCmp
TimeStamp = /53135158;
Reference = C1;
ValeurCmp = 100n;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /530E62FF;
Reference = C2;
ValeurCmp = 100nf;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /52EA2602;
Reference = C3;
ValeurCmp = 10uF;
IdModule = c_tant_D;
EndCmp
BeginCmp
TimeStamp = /52EA2601;
Reference = C4;
ValeurCmp = 100nF;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /52EA2604;
Reference = C5;
ValeurCmp = 10uF;
IdModule = c_tant_D;
EndCmp
BeginCmp
TimeStamp = /52EA2603;
Reference = C6;
ValeurCmp = 100nF;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /5315FBCE;
Reference = C7;
ValeurCmp = 100nf;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /530DD89E;
Reference = D1;
ValeurCmp = LED;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /52EA260D;
Reference = D2;
ValeurCmp = LED;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /52EA260F;
Reference = D3;
ValeurCmp = BAT42W;
IdModule = sod123;
EndCmp
BeginCmp
TimeStamp = /52EA260A;
Reference = D4;
ValeurCmp = LED;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /530A3B07;
Reference = F1;
ValeurCmp = FUSE;
IdModule = fuse1;
EndCmp
BeginCmp
TimeStamp = /52EA29B9;
Reference = J1;
ValeurCmp = RJ45;
IdModule = RJ45_MEBP_8-8G;
EndCmp
BeginCmp
TimeStamp = /52EA264E;
Reference = P1;
ValeurCmp = CONN_2;
IdModule = bornier2;
EndCmp
BeginCmp
TimeStamp = /53160F8F;
Reference = P2;
ValeurCmp = CONN_7;
IdModule = SIL-7;
EndCmp
BeginCmp
TimeStamp = /53174CDC;
Reference = Q1;
ValeurCmp = IRLR110;
IdModule = DPAK2;
EndCmp
BeginCmp
TimeStamp = /53174CCD;
Reference = Q2;
ValeurCmp = NDS355;
IdModule = sot23;
EndCmp
BeginCmp
TimeStamp = /530A40D1;
Reference = R1;
ValeurCmp = 10k;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /530A4269;
Reference = R2;
ValeurCmp = 90k;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /530DD544;
Reference = R3;
ValeurCmp = 1k;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /53135149;
Reference = R4;
ValeurCmp = 100k;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /530A35B5;
Reference = R5;
ValeurCmp = 0.05;
IdModule = SM1206;
EndCmp
BeginCmp
TimeStamp = /530DD3FA;
Reference = R6;
ValeurCmp = 1k;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /530DD88F;
Reference = R7;
ValeurCmp = 1k;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /530DCF8E;
Reference = R8;
ValeurCmp = 1k;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /52EA260C;
Reference = R9;
ValeurCmp = 1k;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /52EA2609;
Reference = R10;
ValeurCmp = 1k;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /5316122F;
Reference = R11;
ValeurCmp = R;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /53160BB6;
Reference = R12;
ValeurCmp = R;
IdModule = SM0603;
EndCmp
BeginCmp
TimeStamp = /530A46D9;
Reference = RV1;
ValeurCmp = POT;
IdModule = trimmer_piher_pt6xh;
EndCmp
BeginCmp
TimeStamp = /530DCE0D;
Reference = SW1;
ValeurCmp = SW_PUSH;
IdModule = SW_PUSH_SMALL;
EndCmp
BeginCmp
TimeStamp = /530A359C;
Reference = U1;
ValeurCmp = LM358;
IdModule = SO8E;
EndCmp
BeginCmp
TimeStamp = /530DC723;
Reference = U2;
ValeurCmp = SN74LVC1G74;
IdModule = SO8E;
EndCmp
BeginCmp
TimeStamp = /530E5BAD;
Reference = U3;
ValeurCmp = 74HCT125;
IdModule = SO14E;
EndCmp
BeginCmp
TimeStamp = /52EA2610;
Reference = U4;
ValeurCmp = TS2937;
IdModule = DPAK2;
EndCmp
EndListe

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,563 @@
(export (version D)
(design
(source /home/sebastian/projects/HeptaLED/boards/levelshifter/leveshifterboard.sch)
(date "Sun 09 Mar 2014 07:03:32 PM CET")
(tool "eeschema (2013-may-18)-stable"))
(components
(comp (ref C4)
(value 100nF)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 52EA2601))
(comp (ref C3)
(value 10uF)
(libsource (lib device) (part CP1))
(sheetpath (names /) (tstamps /))
(tstamp 52EA2602))
(comp (ref C6)
(value 100nF)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 52EA2603))
(comp (ref C5)
(value 10uF)
(libsource (lib device) (part CP1))
(sheetpath (names /) (tstamps /))
(tstamp 52EA2604))
(comp (ref R10)
(value 1k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 52EA2609))
(comp (ref D4)
(value LED)
(libsource (lib device) (part LED))
(sheetpath (names /) (tstamps /))
(tstamp 52EA260A))
(comp (ref R9)
(value 1k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 52EA260C))
(comp (ref D2)
(value LED)
(libsource (lib device) (part LED))
(sheetpath (names /) (tstamps /))
(tstamp 52EA260D))
(comp (ref D3)
(value BAT42W)
(libsource (lib device) (part DIODE))
(sheetpath (names /) (tstamps /))
(tstamp 52EA260F))
(comp (ref U4)
(value TS2937)
(libsource (lib ts2937) (part TS2937))
(sheetpath (names /) (tstamps /))
(tstamp 52EA2610))
(comp (ref P1)
(value CONN_2)
(libsource (lib conn) (part CONN_2))
(sheetpath (names /) (tstamps /))
(tstamp 52EA264E))
(comp (ref J1)
(value RJ45)
(libsource (lib conn) (part RJ45))
(sheetpath (names /) (tstamps /))
(tstamp 52EA29B9))
(comp (ref U1)
(value LM358)
(libsource (lib linear) (part LM358))
(sheetpath (names /) (tstamps /))
(tstamp 530A359C))
(comp (ref R5)
(value 0.05)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 530A35B5))
(comp (ref F1)
(value FUSE)
(libsource (lib device) (part FUSE))
(sheetpath (names /) (tstamps /))
(tstamp 530A3B07))
(comp (ref R1)
(value 10k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 530A40D1))
(comp (ref R2)
(value 90k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 530A4269))
(comp (ref RV1)
(value POT)
(libsource (lib device) (part POT))
(sheetpath (names /) (tstamps /))
(tstamp 530A46D9))
(comp (ref U2)
(value SN74LVC1G74)
(libsource (lib sn74lvc1g74) (part SN74LVC1G74))
(sheetpath (names /) (tstamps /))
(tstamp 530DC723))
(comp (ref SW1)
(value SW_PUSH)
(libsource (lib device) (part SW_PUSH))
(sheetpath (names /) (tstamps /))
(tstamp 530DCE0D))
(comp (ref R8)
(value 1k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 530DCF8E))
(comp (ref R6)
(value 1k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 530DD3FA))
(comp (ref R3)
(value 1k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 530DD544))
(comp (ref R7)
(value 1k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 530DD88F))
(comp (ref D1)
(value LED)
(libsource (lib device) (part LED))
(sheetpath (names /) (tstamps /))
(tstamp 530DD89E))
(comp (ref U3)
(value 74HCT125)
(libsource (lib 74hct125) (part 74HCT125))
(sheetpath (names /) (tstamps /))
(tstamp 530E5BAD))
(comp (ref C2)
(value 100nf)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 530E62FF))
(comp (ref R4)
(value 100k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 53135149))
(comp (ref C1)
(value 100n)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 53135158))
(comp (ref C7)
(value 100nf)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 5315FBCE))
(comp (ref R12)
(value 1k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 53160BB6))
(comp (ref P2)
(value CONN_7)
(libsource (lib conn) (part CONN_7))
(sheetpath (names /) (tstamps /))
(tstamp 53160F8F))
(comp (ref R11)
(value 1k)
(libsource (lib device) (part R))
(sheetpath (names /) (tstamps /))
(tstamp 5316122F))
(comp (ref Q2)
(value NDS355)
(libsource (lib nds355) (part NDS355))
(sheetpath (names /) (tstamps /))
(tstamp 53174CCD))
(comp (ref Q1)
(value IRLR110)
(libsource (lib irlr110) (part IRLR110))
(sheetpath (names /) (tstamps /))
(tstamp 53174CDC)))
(libparts
(libpart (lib device) (part C)
(description "Condensateur non polarise")
(footprints
(fp SM*)
(fp C?)
(fp C1-1))
(fields
(field (name Reference) C)
(field (name Value) C)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib device) (part CP1)
(description "Condensateur polarise")
(footprints
(fp CP*)
(fp SM*))
(fields
(field (name Reference) C)
(field (name Value) CP1)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib device) (part DIODE)
(description "Diode simple")
(footprints
(fp D?)
(fp S*))
(fields
(field (name Reference) D)
(field (name Value) DIODE)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name A) (type passive))
(pin (num 2) (name K) (type passive))))
(libpart (lib device) (part FUSE)
(fields
(field (name Reference) F)
(field (name Value) FUSE)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name ~) (type input))
(pin (num 2) (name ~) (type input))))
(libpart (lib device) (part LED)
(footprints
(fp LED-3MM)
(fp LED-5MM)
(fp LED-10MM)
(fp LED-0603)
(fp LED-0805)
(fp LED-1206)
(fp LEDV))
(fields
(field (name Reference) D)
(field (name Value) LED)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name A) (type passive))
(pin (num 2) (name K) (type passive))))
(libpart (lib device) (part POT)
(description Potentionmetre)
(fields
(field (name Reference) RV)
(field (name Value) POT)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))
(pin (num 3) (name 3) (type passive))))
(libpart (lib device) (part R)
(description Resistance)
(footprints
(fp R?)
(fp SM0603)
(fp SM0805)
(fp R?-*)
(fp SM1206))
(fields
(field (name Reference) R)
(field (name Value) R)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))))
(libpart (lib device) (part SW_PUSH)
(description "Push Button")
(fields
(field (name Reference) SW)
(field (name Value) SW_PUSH)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name 1) (type passive))
(pin (num 2) (name 2) (type passive))))
(libpart (lib conn) (part CONN_2)
(description "Symbole general de connecteur")
(fields
(field (name Reference) P)
(field (name Value) CONN_2)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name P1) (type passive))
(pin (num 2) (name PM) (type passive))))
(libpart (lib conn) (part CONN_7)
(description "Symbole general pour connexions")
(fields
(field (name Reference) P)
(field (name Value) CONN_7)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name P1) (type passive))
(pin (num 2) (name P2) (type passive))
(pin (num 3) (name P3) (type passive))
(pin (num 4) (name P4) (type passive))
(pin (num 5) (name P5) (type passive))
(pin (num 6) (name P6) (type passive))
(pin (num 7) (name P7) (type passive))))
(libpart (lib conn) (part RJ45)
(fields
(field (name Reference) J)
(field (name Value) RJ45)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name ~) (type passive))
(pin (num 2) (name ~) (type passive))
(pin (num 3) (name ~) (type passive))
(pin (num 4) (name ~) (type passive))
(pin (num 5) (name ~) (type passive))
(pin (num 6) (name ~) (type passive))
(pin (num 7) (name ~) (type passive))
(pin (num 8) (name ~) (type passive))
(pin (num 9) (name SHIELD) (type passive))))
(libpart (lib linear) (part LM358)
(description "Dual Op amp (low power)")
(docs ns/lm158.pdf)
(fields
(field (name Reference) U)
(field (name Value) LM358))
(pins
(pin (num 1) (name ~) (type output))
(pin (num 2) (name -) (type input))
(pin (num 3) (name +) (type input))
(pin (num 4) (name V-) (type power_in))
(pin (num 5) (name +) (type input))
(pin (num 6) (name -) (type input))
(pin (num 7) (name ~) (type output))
(pin (num 8) (name V+) (type power_in))))
(libpart (lib sn74lvc1g74) (part SN74LVC1G74)
(fields
(field (name Reference) U)
(field (name Value) SN74LVC1G74)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name CLK) (type input))
(pin (num 2) (name D) (type input))
(pin (num 3) (name notQ) (type input))
(pin (num 4) (name GND) (type input))
(pin (num 5) (name Q) (type input))
(pin (num 6) (name CLR) (type input))
(pin (num 7) (name PRE) (type input))
(pin (num 8) (name Vcc) (type input))))
(libpart (lib ts2937) (part TS2937)
(fields
(field (name Reference) U)
(field (name Value) TS2937)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name VI) (type input))
(pin (num 2) (name GND) (type input))
(pin (num 3) (name VO) (type power_out))))
(libpart (lib 74hct125) (part 74HCT125)
(fields
(field (name Reference) U)
(field (name Value) 74HCT125)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name OE1) (type input))
(pin (num 2) (name 1A) (type input))
(pin (num 3) (name Y1) (type input))
(pin (num 4) (name OE2) (type input))
(pin (num 5) (name 2A) (type input))
(pin (num 6) (name Y2) (type input))
(pin (num 7) (name GND) (type input))
(pin (num 8) (name Y3) (type input))
(pin (num 9) (name 3A) (type input))
(pin (num 10) (name OE3) (type input))
(pin (num 11) (name Y4) (type input))
(pin (num 12) (name 4A) (type input))
(pin (num 13) (name OE4) (type input))
(pin (num 14) (name Vcc) (type input))))
(libpart (lib nds355) (part NDS355)
(fields
(field (name Reference) Q)
(field (name Value) NDS355)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name G) (type input))
(pin (num 2) (name D) (type passive))
(pin (num 3) (name S) (type passive))))
(libpart (lib irlr110) (part IRLR110)
(fields
(field (name Reference) Q)
(field (name Value) IRLR110)
(field (name Footprint) ~)
(field (name Datasheet) ~))
(pins
(pin (num 1) (name G) (type input))
(pin (num 2) (name D) (type passive))
(pin (num 3) (name S) (type passive)))))
(libraries
(library (logical device)
(uri /usr/share/kicad/library/device.lib))
(library (logical conn)
(uri /usr/share/kicad/library/conn.lib))
(library (logical linear)
(uri /usr/share/kicad/library/linear.lib))
(library (logical sn74lvc1g74)
(uri ../lib/sn74lvc1g74.lib))
(library (logical nds355)
(uri ../lib/nds355.lib))
(library (logical ts2937)
(uri ../lib/ts2937.lib))
(library (logical 74hct125)
(uri ../lib/74hct125.lib))
(library (logical irlr110)
(uri ../lib/irlr110.lib)))
(nets
(net (code 1) (name PowerOn)
(node (ref R3) (pin 2))
(node (ref Q1) (pin 1))
(node (ref U2) (pin 3)))
(net (code 2) (name 3.3_Enable)
(node (ref U3) (pin 5))
(node (ref P2) (pin 4)))
(net (code 3) (name Clock)
(node (ref J1) (pin 1))
(node (ref U3) (pin 3)))
(net (code 4) (name 3.3_Clock)
(node (ref P2) (pin 2))
(node (ref U3) (pin 2)))
(net (code 5) (name Shutdown)
(node (ref R6) (pin 2))
(node (ref U1) (pin 7))
(node (ref U2) (pin 7)))
(net (code 6) (name 3.3_Data_Out)
(node (ref P2) (pin 3))
(node (ref U3) (pin 9)))
(net (code 7) (name 3.3_Reset)
(node (ref U3) (pin 12))
(node (ref P2) (pin 5)))
(net (code 8) (name Reset)
(node (ref J1) (pin 7))
(node (ref U3) (pin 11)))
(net (code 9) (name Data_Out)
(node (ref J1) (pin 5))
(node (ref U3) (pin 8)))
(net (code 10) (name "")
(node (ref F1) (pin 1))
(node (ref P1) (pin 2)))
(net (code 11) (name "")
(node (ref D4) (pin 1))
(node (ref R10) (pin 2)))
(net (code 12) (name "")
(node (ref U1) (pin 5))
(node (ref RV1) (pin 2)))
(net (code 13) (name "")
(node (ref R1) (pin 1))
(node (ref U1) (pin 2))
(node (ref R2) (pin 1)))
(net (code 14) (name +24V)
(node (ref C3) (pin 1))
(node (ref U4) (pin 1))
(node (ref Q1) (pin 2))
(node (ref C4) (pin 1))
(node (ref D3) (pin 2))
(node (ref R9) (pin 1))
(node (ref F1) (pin 2)))
(net (code 15) (name +3.3V)
(node (ref R12) (pin 1))
(node (ref P2) (pin 1)))
(net (code 16) (name "")
(node (ref Q2) (pin 2))
(node (ref P2) (pin 6))
(node (ref R12) (pin 2)))
(net (code 17) (name GND)
(node (ref U2) (pin 1))
(node (ref U2) (pin 2))
(node (ref R5) (pin 2))
(node (ref R1) (pin 2))
(node (ref U1) (pin 4))
(node (ref U2) (pin 4))
(node (ref D1) (pin 2))
(node (ref D2) (pin 2))
(node (ref D4) (pin 2))
(node (ref SW1) (pin 2))
(node (ref RV1) (pin 3))
(node (ref C3) (pin 2))
(node (ref C5) (pin 2))
(node (ref C6) (pin 2))
(node (ref C4) (pin 2))
(node (ref P1) (pin 1))
(node (ref U4) (pin 2))
(node (ref P2) (pin 7))
(node (ref Q2) (pin 3))
(node (ref C1) (pin 2))
(node (ref C7) (pin 2))
(node (ref U3) (pin 7))
(node (ref C2) (pin 2)))
(net (code 18) (name "")
(node (ref R11) (pin 2))
(node (ref Q2) (pin 1)))
(net (code 19) (name BusOff)
(node (ref U3) (pin 13))
(node (ref U3) (pin 10))
(node (ref U2) (pin 5))
(node (ref R7) (pin 1))
(node (ref U3) (pin 1))
(node (ref U3) (pin 4))
(node (ref R11) (pin 1)))
(net (code 20) (name "")
(node (ref R7) (pin 2))
(node (ref D1) (pin 1)))
(net (code 21) (name +5V)
(node (ref R3) (pin 1))
(node (ref R8) (pin 2))
(node (ref R6) (pin 1))
(node (ref U2) (pin 8))
(node (ref C2) (pin 1))
(node (ref C7) (pin 1))
(node (ref R10) (pin 1))
(node (ref RV1) (pin 1))
(node (ref U3) (pin 14))
(node (ref D3) (pin 1))
(node (ref U4) (pin 3))
(node (ref U1) (pin 8))
(node (ref C6) (pin 1))
(node (ref C5) (pin 1)))
(net (code 22) (name "")
(node (ref U1) (pin 6))
(node (ref R4) (pin 2))
(node (ref C1) (pin 1)))
(net (code 23) (name "")
(node (ref U1) (pin 1))
(node (ref R2) (pin 2))
(node (ref R4) (pin 1)))
(net (code 24) (name "")
(node (ref U2) (pin 6))
(node (ref R8) (pin 1))
(node (ref SW1) (pin 1)))
(net (code 25) (name Sense)
(node (ref R5) (pin 1))
(node (ref J1) (pin 6))
(node (ref J1) (pin 8))
(node (ref J1) (pin 9))
(node (ref U1) (pin 3)))
(net (code 26) (name Enable)
(node (ref U3) (pin 6))
(node (ref J1) (pin 3)))
(net (code 27) (name "")
(node (ref J1) (pin 4))
(node (ref Q1) (pin 3))
(node (ref J1) (pin 2)))
(net (code 28) (name "")
(node (ref R9) (pin 2))
(node (ref D2) (pin 1)))))

Binary file not shown.

View File

@ -1,54 +1,15 @@
update=Wed 26 Feb 2014 09:47:40 PM CET
update=Sun 16 Mar 2014 09:36:59 PM CET
version=1
last_client=eeschema
last_client=pcbnew
[cvpcb]
version=1
NetIExt=net
[cvpcb/libraries]
EquName1=devcms
[pcbnew]
version=1
LastNetListRead=
UseCmpFile=1
PadDrill=0.600000000000
PadDrillOvalY=0.600000000000
PadSizeH=1.500000000000
PadSizeV=1.500000000000
PcbTextSizeV=1.500000000000
PcbTextSizeH=1.500000000000
PcbTextThickness=0.300000000000
ModuleTextSizeV=1.000000000000
ModuleTextSizeH=1.000000000000
ModuleTextSizeThickness=0.150000000000
SolderMaskClearance=0.000000000000
SolderMaskMinWidth=0.000000000000
DrawSegmentWidth=0.200000000000
BoardOutlineThickness=0.100000000000
ModuleOutlineThickness=0.150000000000
[pcbnew/libraries]
LibDir=
LibName1=sockets
LibName2=connect
LibName3=discret
LibName4=pin_array
LibName5=divers
LibName6=smd_capacitors
LibName7=smd_resistors
LibName8=smd_crystal&oscillator
LibName9=smd_dil
LibName10=smd_transistors
LibName11=libcms
LibName12=display
LibName13=led
LibName14=dip_sockets
LibName15=pga_sockets
LibName16=valves
[general]
version=1
[eeschema]
version=1
LibDir=../lib
NetFmtName=
NetFmtName=PcbnewAdvanced
RptD_X=0
RptD_Y=100
RptLab=1
@ -88,3 +49,51 @@ LibName31=sn74lvc1g74
LibName32=ts2937
LibName33=nds355
LibName34=74hct125
LibName35=irlr110
[general]
version=1
[pcbnew]
version=1
LastNetListRead=leveshifterboard.net
UseCmpFile=1
PadDrill=" 1.600000"
PadDrillOvalY=" 1.600000"
PadSizeH=" 2.500000"
PadSizeV=" 2.500000"
PcbTextSizeV=" 1.500000"
PcbTextSizeH=" 1.500000"
PcbTextThickness=" 0.300000"
ModuleTextSizeV=" 1.000000"
ModuleTextSizeH=" 1.000000"
ModuleTextSizeThickness=" 0.150000"
SolderMaskClearance=" 0.000000"
SolderMaskMinWidth=" 0.000000"
DrawSegmentWidth=" 0.200000"
BoardOutlineThickness=" 0.100000"
ModuleOutlineThickness=" 0.150000"
[pcbnew/libraries]
LibDir=../lib
LibName1=sockets
LibName2=connect
LibName3=discret
LibName4=pin_array
LibName5=divers
LibName6=smd_capacitors
LibName7=smd_resistors
LibName8=smd_crystal&oscillator
LibName9=smd_dil
LibName10=smd_transistors
LibName11=libcms
LibName12=display
LibName13=led
LibName14=dip_sockets
LibName15=pga_sockets
LibName16=valves
LibName17=fuse
LibName18=smisitoto_eu/w_smd_trans
LibName19=smisitoto_eu/w_smd_diode
LibName20=rj45
LibName21=smisitoto_eu/w_pth_resistors
LibName22=smisitoto_eu/w_conn_pc
LibName23=logo_silktop_30_00mm
LibName24=logo_silkbot_30_00mm

File diff suppressed because it is too large Load Diff

43
boards/lib/fuse.mod Normal file
View File

@ -0,0 +1,43 @@
PCBNEW-LibModule-V1 Tue 04 Mar 2014 05:17:07 PM CET
# encoding utf-8
Units mm
$INDEX
fuse1
$EndINDEX
$MODULE fuse1
Po 0 0 0 15 4D8CB9CF 00000000 ~~
Li fuse1
Cd Resitance 7 pas
Kw R
Sc 0
AR /4EC18DA6
Op 0 A 0
T0 2.286 1.016 1.397 1.27 0 0.2032 N V 21 N "F3"
T1 -2.286 -1.27 1.397 1.27 0 0.2032 N V 21 N "FUSE"
DS 10.16 2.54 11.43 2.54 0.381 21
DS 11.43 2.54 11.43 -2.54 0.381 21
DS -11.43 -2.54 11.43 -2.54 0.381 21
DS 10.16 2.54 -11.43 2.54 0.381 21
DS -11.43 2.54 -11.43 -2.54 0.381 21
$PAD
Sh "1" C 4.0005 4.0005 0 0 0
Dr 1.00076 0 0
At STD N 00E0FFFF
Ne 1 "-12V"
Po -8.89 0
$EndPAD
$PAD
Sh "2" C 4.0005 4.0005 0 0 0
Dr 1.27 0 0
At STD N 00E0FFFF
Ne 2 "N-000022"
Po 8.89 0
$EndPAD
$SHAPE3D
Na "discret/resistor.wrl"
Sc 0.7 0.7 0.7
Of 0 0 0
Ro 0 0 0
$EndSHAPE3D
$EndMODULE fuse1
$EndLIBRARY

25
boards/lib/irlr110.lib Normal file
View File

@ -0,0 +1,25 @@
EESchema-LIBRARY Version 2.3 Date: Wed 05 Mar 2014 05:07:25 PM CET
#encoding utf-8
#
# IRLR110
#
DEF IRLR110 Q 0 40 Y N 1 F N
F0 "Q" 0 190 60 H V R CNN
F1 "IRLR110" 0 -180 60 H V R CNN
F2 "~" 0 0 60 H V C CNN
F3 "~" 0 0 60 H V C CNN
DRAW
P 2 0 1 8 -50 -100 -50 100 N
P 2 0 1 10 0 -150 0 150 N
P 2 0 1 8 30 0 0 0 N
P 2 0 1 0 100 -100 0 -100 N
P 2 0 1 0 100 100 0 100 N
P 3 0 1 0 80 0 100 0 100 -100 N
P 5 0 1 8 30 40 30 -30 80 0 30 40 30 40 N
X G 1 -200 0 150 R 40 40 1 1 I
X D 2 100 200 100 D 40 40 1 1 P
X S 3 100 -200 100 U 40 40 1 1 P
ENDDRAW
ENDDEF
#
#End Library

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff