From 90e1dbdf862f720292cd909e8024727b119795d5 Mon Sep 17 00:00:00 2001 From: LongHairedHacker Date: Thu, 6 Oct 2016 14:11:25 +0200 Subject: [PATCH] Generated gerbers and panelized --- gerber/lipoguard-B.Cu.gbl | 2 +- gerber/lipoguard-B.Mask.gbs | 2 +- gerber/lipoguard-B.SilkS.gbo | 2473 ++- gerber/lipoguard-Edge.Cuts.gko | 2 +- gerber/lipoguard-F.Cu.gtl | 2 +- gerber/lipoguard-F.Mask.gts | 2 +- gerber/lipoguard-F.SilkS.gto | 409 +- gerber/lipoguard.drl | 144 +- panel/combined.GKO | 277 + panel/combined.gbl | 1267 ++ panel/combined.gbo | 28037 ++++++++++++++++++++++++++ panel/combined.gbs | 51 + panel/combined.gtl | 3847 ++++ panel/combined.gto | 1319 ++ panel/combined.gts | 119 + panel/combined.txt | 213 + panel/lipoguard.gerberset | 62 + panel/lipoguard_Combined_Bottom.png | Bin 0 -> 129550 bytes panel/lipoguard_Combined_Top.png | Bin 0 -> 82092 bytes plot/lipoguard.png | Bin 0 -> 604506 bytes 20 files changed, 37660 insertions(+), 568 deletions(-) create mode 100755 panel/combined.GKO create mode 100755 panel/combined.gbl create mode 100755 panel/combined.gbo create mode 100755 panel/combined.gbs create mode 100755 panel/combined.gtl create mode 100755 panel/combined.gto create mode 100755 panel/combined.gts create mode 100755 panel/combined.txt create mode 100755 panel/lipoguard.gerberset create mode 100755 panel/lipoguard_Combined_Bottom.png create mode 100755 panel/lipoguard_Combined_Top.png create mode 100644 plot/lipoguard.png diff --git a/gerber/lipoguard-B.Cu.gbl b/gerber/lipoguard-B.Cu.gbl index e00369f..6115394 100644 --- a/gerber/lipoguard-B.Cu.gbl +++ b/gerber/lipoguard-B.Cu.gbl @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Copper,L2,Bot,Signal* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 00:02:17 2016* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* %MOMM*% %LPD*% G01* diff --git a/gerber/lipoguard-B.Mask.gbs b/gerber/lipoguard-B.Mask.gbs index c974efb..730b468 100644 --- a/gerber/lipoguard-B.Mask.gbs +++ b/gerber/lipoguard-B.Mask.gbs @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Soldermask,Bot* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 00:02:17 2016* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* %MOMM*% %LPD*% G01* diff --git a/gerber/lipoguard-B.SilkS.gbo b/gerber/lipoguard-B.SilkS.gbo index 1c29963..d83aaf3 100644 --- a/gerber/lipoguard-B.SilkS.gbo +++ b/gerber/lipoguard-B.SilkS.gbo @@ -1,407 +1,2096 @@ G04 #@! TF.FileFunction,Legend,Bot* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 00:02:17 2016* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* %MOMM*% %LPD*% G01* G04 APERTURE LIST* %ADD10C,0.100000*% %ADD11C,0.150000*% -%ADD12C,0.300000*% -%ADD13C,0.002540*% +%ADD12C,0.162500*% +%ADD13C,0.250000*% +%ADD14C,0.300000*% +%ADD15C,0.002540*% G04 APERTURE END LIST* D10* D11* -X162178571Y-80229286D02* -X161928571Y-80979286D01* -X161678571Y-80229286D01* -X161142857Y-80943571D02* -X161214286Y-80979286D01* -X161357143Y-80979286D01* -X161428572Y-80943571D01* -X161464286Y-80872143D01* -X161464286Y-80586429D01* -X161428572Y-80515000D01* -X161357143Y-80479286D01* -X161214286Y-80479286D01* -X161142857Y-80515000D01* -X161107143Y-80586429D01* -X161107143Y-80657857D01* -X161464286Y-80729286D01* -X160785715Y-80979286D02* -X160785715Y-80479286D01* -X160785715Y-80622143D02* -X160750000Y-80550714D01* -X160714286Y-80515000D01* -X160642857Y-80479286D01* -X160571429Y-80479286D01* -X160357143Y-80943571D02* -X160285714Y-80979286D01* -X160142857Y-80979286D01* -X160071429Y-80943571D01* -X160035714Y-80872143D01* -X160035714Y-80836429D01* -X160071429Y-80765000D01* -X160142857Y-80729286D01* -X160250000Y-80729286D01* -X160321429Y-80693571D01* -X160357143Y-80622143D01* -X160357143Y-80586429D01* -X160321429Y-80515000D01* -X160250000Y-80479286D01* -X160142857Y-80479286D01* -X160071429Y-80515000D01* -X159714286Y-80979286D02* -X159714286Y-80479286D01* -X159714286Y-80229286D02* -X159750000Y-80265000D01* -X159714286Y-80300714D01* -X159678571Y-80265000D01* -X159714286Y-80229286D01* -X159714286Y-80300714D01* -X159250000Y-80979286D02* -X159321428Y-80943571D01* -X159357143Y-80907857D01* -X159392857Y-80836429D01* -X159392857Y-80622143D01* -X159357143Y-80550714D01* -X159321428Y-80515000D01* -X159250000Y-80479286D01* -X159142857Y-80479286D01* -X159071428Y-80515000D01* -X159035714Y-80550714D01* -X159000000Y-80622143D01* -X159000000Y-80836429D01* -X159035714Y-80907857D01* -X159071428Y-80943571D01* -X159142857Y-80979286D01* -X159250000Y-80979286D01* -X158678572Y-80479286D02* -X158678572Y-80979286D01* -X158678572Y-80550714D02* -X158642857Y-80515000D01* -X158571429Y-80479286D01* -X158464286Y-80479286D01* -X158392857Y-80515000D01* -X158357143Y-80586429D01* -X158357143Y-80979286D01* -X157035714Y-80979286D02* -X157464286Y-80979286D01* -X157250000Y-80979286D02* -X157250000Y-80229286D01* -X157321429Y-80336429D01* -X157392857Y-80407857D01* -X157464286Y-80443571D01* -X156714286Y-80907857D02* -X156678571Y-80943571D01* -X156714286Y-80979286D01* -X156750000Y-80943571D01* -X156714286Y-80907857D01* -X156714286Y-80979286D01* -X156214285Y-80229286D02* -X156142857Y-80229286D01* -X156071428Y-80265000D01* -X156035714Y-80300714D01* -X156000000Y-80372143D01* -X155964285Y-80515000D01* -X155964285Y-80693571D01* -X156000000Y-80836429D01* -X156035714Y-80907857D01* -X156071428Y-80943571D01* -X156142857Y-80979286D01* -X156214285Y-80979286D01* -X156285714Y-80943571D01* -X156321428Y-80907857D01* -X156357143Y-80836429D01* -X156392857Y-80693571D01* -X156392857Y-80515000D01* -X156357143Y-80372143D01* -X156321428Y-80300714D01* -X156285714Y-80265000D01* -X156214285Y-80229286D01* -X164982142Y-82254286D02* -X164982142Y-81504286D01* -X164982142Y-81790000D02* -X164910713Y-81754286D01* -X164767856Y-81754286D01* -X164696427Y-81790000D01* -X164660713Y-81825714D01* -X164624999Y-81897143D01* -X164624999Y-82111429D01* -X164660713Y-82182857D01* -X164696427Y-82218571D01* -X164767856Y-82254286D01* -X164910713Y-82254286D01* -X164982142Y-82218571D01* -X164374999Y-81754286D02* -X164196428Y-82254286D01* -X164017856Y-81754286D02* -X164196428Y-82254286D01* -X164267856Y-82432857D01* -X164303571Y-82468571D01* -X164374999Y-82504286D01* -X163196427Y-82218571D02* -X163089284Y-82254286D01* -X162910713Y-82254286D01* -X162839284Y-82218571D01* -X162803570Y-82182857D01* -X162767855Y-82111429D01* -X162767855Y-82040000D01* -X162803570Y-81968571D01* -X162839284Y-81932857D01* -X162910713Y-81897143D01* -X163053570Y-81861429D01* -X163124998Y-81825714D01* -X163160713Y-81790000D01* -X163196427Y-81718571D01* -X163196427Y-81647143D01* -X163160713Y-81575714D01* -X163124998Y-81540000D01* -X163053570Y-81504286D01* -X162874998Y-81504286D01* -X162767855Y-81540000D01* -X162160712Y-82218571D02* -X162232141Y-82254286D01* -X162374998Y-82254286D01* -X162446427Y-82218571D01* -X162482141Y-82147143D01* -X162482141Y-81861429D01* -X162446427Y-81790000D01* -X162374998Y-81754286D01* -X162232141Y-81754286D01* -X162160712Y-81790000D01* -X162124998Y-81861429D01* -X162124998Y-81932857D01* -X162482141Y-82004286D01* -X161803570Y-82254286D02* -X161803570Y-81504286D01* -X161803570Y-81790000D02* -X161732141Y-81754286D01* -X161589284Y-81754286D01* -X161517855Y-81790000D01* -X161482141Y-81825714D01* -X161446427Y-81897143D01* -X161446427Y-82111429D01* -X161482141Y-82182857D01* -X161517855Y-82218571D01* -X161589284Y-82254286D01* -X161732141Y-82254286D01* -X161803570Y-82218571D01* -X160803570Y-82254286D02* -X160803570Y-81861429D01* -X160839284Y-81790000D01* -X160910713Y-81754286D01* -X161053570Y-81754286D01* -X161124999Y-81790000D01* -X160803570Y-82218571D02* -X160874999Y-82254286D01* -X161053570Y-82254286D01* -X161124999Y-82218571D01* -X161160713Y-82147143D01* -X161160713Y-82075714D01* -X161124999Y-82004286D01* -X161053570Y-81968571D01* -X160874999Y-81968571D01* -X160803570Y-81932857D01* -X160482142Y-82218571D02* -X160410713Y-82254286D01* -X160267856Y-82254286D01* -X160196428Y-82218571D01* -X160160713Y-82147143D01* -X160160713Y-82111429D01* -X160196428Y-82040000D01* -X160267856Y-82004286D01* -X160374999Y-82004286D01* -X160446428Y-81968571D01* -X160482142Y-81897143D01* -X160482142Y-81861429D01* -X160446428Y-81790000D01* -X160374999Y-81754286D01* -X160267856Y-81754286D01* -X160196428Y-81790000D01* -X159946427Y-81754286D02* -X159660713Y-81754286D01* -X159839285Y-81504286D02* -X159839285Y-82147143D01* -X159803570Y-82218571D01* -X159732142Y-82254286D01* -X159660713Y-82254286D01* -X159410714Y-82254286D02* -X159410714Y-81754286D01* -X159410714Y-81504286D02* -X159446428Y-81540000D01* -X159410714Y-81575714D01* -X159374999Y-81540000D01* -X159410714Y-81504286D01* -X159410714Y-81575714D01* -X158732142Y-82254286D02* -X158732142Y-81861429D01* -X158767856Y-81790000D01* -X158839285Y-81754286D01* -X158982142Y-81754286D01* -X159053571Y-81790000D01* -X158732142Y-82218571D02* -X158803571Y-82254286D01* -X158982142Y-82254286D01* -X159053571Y-82218571D01* -X159089285Y-82147143D01* -X159089285Y-82075714D01* -X159053571Y-82004286D01* -X158982142Y-81968571D01* -X158803571Y-81968571D01* -X158732142Y-81932857D01* -X158375000Y-81754286D02* -X158375000Y-82254286D01* -X158375000Y-81825714D02* -X158339285Y-81790000D01* -X158267857Y-81754286D01* -X158160714Y-81754286D01* -X158089285Y-81790000D01* -X158053571Y-81861429D01* -X158053571Y-82254286D01* -X157160714Y-82218571D02* -X157053571Y-82254286D01* -X156875000Y-82254286D01* -X156803571Y-82218571D01* -X156767857Y-82182857D01* -X156732142Y-82111429D01* -X156732142Y-82040000D01* -X156767857Y-81968571D01* -X156803571Y-81932857D01* -X156875000Y-81897143D01* -X157017857Y-81861429D01* -X157089285Y-81825714D01* -X157125000Y-81790000D01* -X157160714Y-81718571D01* -X157160714Y-81647143D01* -X157125000Y-81575714D01* -X157089285Y-81540000D01* -X157017857Y-81504286D01* -X156839285Y-81504286D01* -X156732142Y-81540000D01* -X156089285Y-82218571D02* -X156160714Y-82254286D01* -X156303571Y-82254286D01* -X156374999Y-82218571D01* -X156410714Y-82182857D01* -X156446428Y-82111429D01* -X156446428Y-81897143D01* -X156410714Y-81825714D01* -X156374999Y-81790000D01* -X156303571Y-81754286D01* -X156160714Y-81754286D01* -X156089285Y-81790000D01* -X155767857Y-82254286D02* -X155767857Y-81504286D01* -X155446428Y-82254286D02* -X155446428Y-81861429D01* -X155482142Y-81790000D01* -X155553571Y-81754286D01* -X155660714Y-81754286D01* -X155732142Y-81790000D01* -X155767857Y-81825714D01* -X154767857Y-81754286D02* -X154767857Y-82254286D01* -X155089286Y-81754286D02* -X155089286Y-82147143D01* -X155053571Y-82218571D01* -X154982143Y-82254286D01* -X154875000Y-82254286D01* -X154803571Y-82218571D01* -X154767857Y-82182857D01* -X154410715Y-82254286D02* -X154410715Y-81754286D01* -X154410715Y-81825714D02* -X154375000Y-81790000D01* -X154303572Y-81754286D01* -X154196429Y-81754286D01* -X154125000Y-81790000D01* -X154089286Y-81861429D01* -X154089286Y-82254286D01* -X154089286Y-81861429D02* -X154053572Y-81790000D01* -X153982143Y-81754286D01* -X153875000Y-81754286D01* -X153803572Y-81790000D01* -X153767857Y-81861429D01* -X153767857Y-82254286D01* -X153410715Y-82254286D02* -X153410715Y-81504286D01* -X153410715Y-81790000D02* -X153339286Y-81754286D01* -X153196429Y-81754286D01* -X153125000Y-81790000D01* -X153089286Y-81825714D01* -X153053572Y-81897143D01* -X153053572Y-82111429D01* -X153089286Y-82182857D01* -X153125000Y-82218571D01* -X153196429Y-82254286D01* -X153339286Y-82254286D01* -X153410715Y-82218571D01* -X160035715Y-82850714D02* -X160000001Y-82815000D01* -X159928572Y-82779286D01* -X159750001Y-82779286D01* -X159678572Y-82815000D01* -X159642858Y-82850714D01* -X159607143Y-82922143D01* -X159607143Y-82993571D01* -X159642858Y-83100714D01* -X160071429Y-83529286D01* -X159607143Y-83529286D01* -X159142857Y-82779286D02* -X159071429Y-82779286D01* -X159000000Y-82815000D01* -X158964286Y-82850714D01* -X158928572Y-82922143D01* -X158892857Y-83065000D01* -X158892857Y-83243571D01* -X158928572Y-83386429D01* -X158964286Y-83457857D01* -X159000000Y-83493571D01* -X159071429Y-83529286D01* -X159142857Y-83529286D01* -X159214286Y-83493571D01* -X159250000Y-83457857D01* -X159285715Y-83386429D01* -X159321429Y-83243571D01* -X159321429Y-83065000D01* -X159285715Y-82922143D01* -X159250000Y-82850714D01* -X159214286Y-82815000D01* -X159142857Y-82779286D01* -X158178571Y-83529286D02* -X158607143Y-83529286D01* -X158392857Y-83529286D02* -X158392857Y-82779286D01* -X158464286Y-82886429D01* -X158535714Y-82957857D01* -X158607143Y-82993571D01* -X157535714Y-82779286D02* -X157678571Y-82779286D01* -X157750000Y-82815000D01* -X157785714Y-82850714D01* -X157857143Y-82957857D01* -X157892857Y-83100714D01* -X157892857Y-83386429D01* -X157857143Y-83457857D01* -X157821428Y-83493571D01* -X157750000Y-83529286D01* -X157607143Y-83529286D01* -X157535714Y-83493571D01* -X157500000Y-83457857D01* -X157464285Y-83386429D01* -X157464285Y-83207857D01* -X157500000Y-83136429D01* -X157535714Y-83100714D01* -X157607143Y-83065000D01* -X157750000Y-83065000D01* -X157821428Y-83100714D01* -X157857143Y-83136429D01* -X157892857Y-83207857D01* +X144600623Y-82374084D02* +X144640424Y-82405179D01* +X144751121Y-82427571D01* +X144822017Y-82418866D01* +X144924009Y-82370360D01* +X144986200Y-82290759D01* +X145012943Y-82215510D01* +X145030981Y-82069365D01* +X145017924Y-81963021D01* +X144965066Y-81825581D01* +X144920913Y-81759038D01* +X144841312Y-81696846D01* +X144730615Y-81674456D01* +X144659719Y-81683161D01* +X144557727Y-81731666D01* +X144526631Y-81771467D01* +X143856214Y-82465486D02* +X143896014Y-82496581D01* +X144006711Y-82518973D01* +X144077607Y-82510268D01* +X144179599Y-82461762D01* +X144241790Y-82382161D01* +X144268534Y-82306912D01* +X144286572Y-82160767D01* +X144273514Y-82054423D01* +X144220657Y-81916983D01* +X144176503Y-81850440D01* +X144096902Y-81788248D01* +X143986205Y-81765858D01* +X143915309Y-81774563D01* +X143813317Y-81823068D01* +X143782222Y-81862869D01* +X143511067Y-82291970D02* +X142943897Y-82361609D01* +X142328223Y-82329258D02* +X142226231Y-82377763D01* +X142195135Y-82417563D01* +X142168392Y-82492812D01* +X142181450Y-82599157D01* +X142225602Y-82665700D01* +X142265404Y-82696795D01* +X142340652Y-82723539D01* +X142624237Y-82688719D01* +X142532835Y-81944310D01* +X142284699Y-81974777D01* +X142218154Y-82018930D01* +X142187059Y-82058730D01* +X142160315Y-82133979D01* +X142169020Y-82204875D01* +X142213174Y-82271419D01* +X142252974Y-82302514D01* +X142328223Y-82329258D01* +X142576360Y-82298791D01* +X141694509Y-82443050D02* +X141738034Y-82797531D01* +X141894769Y-82022654D02* +X141694509Y-82443050D01* +X141398496Y-82083589D01* +X141206942Y-82574880D02* +X140639773Y-82644520D01* +X140351208Y-82931829D02* +X140249216Y-82980335D01* +X140071976Y-83002097D01* +X139996727Y-82975354D01* +X139956927Y-82944258D01* +X139912773Y-82877715D01* +X139904068Y-82806819D01* +X139930812Y-82731570D01* +X139961907Y-82691769D01* +X140028451Y-82647617D01* +X140165891Y-82594759D01* +X140232434Y-82550605D01* +X140263530Y-82510805D01* +X140290273Y-82435556D01* +X140281568Y-82364660D01* +X140237415Y-82298116D01* +X140197614Y-82267021D01* +X140122366Y-82240278D01* +X139945125Y-82262040D01* +X139843133Y-82310546D01* +X139620484Y-82841638D02* +X139266003Y-82885163D01* +X139717494Y-83045622D02* +X139377956Y-82331680D01* +X139221221Y-83106557D01* +X138385409Y-82453549D02* +X137924584Y-82510132D01* +X138207541Y-82763249D01* +X138101197Y-82776306D01* +X138034653Y-82820459D01* +X138003558Y-82860260D01* +X137976814Y-82935508D01* +X137998576Y-83112749D01* +X138042730Y-83179292D01* +X138082530Y-83210387D01* +X138157779Y-83237131D01* +X138370467Y-83211016D01* +X138437011Y-83166863D01* +X138468106Y-83127062D01* +X137688249Y-83222817D02* +X137657153Y-83262617D01* +X137696954Y-83293713D01* +X137728049Y-83253912D01* +X137688249Y-83222817D01* +X137696954Y-83293713D01* +X137109278Y-82610239D02* +X137038382Y-82618944D01* +X136971838Y-82663096D01* +X136940743Y-82702897D01* +X136914000Y-82778146D01* +X136895961Y-82924290D01* +X136917724Y-83101530D01* +X136970582Y-83238971D01* +X137014735Y-83305514D01* +X137054535Y-83336609D01* +X137129784Y-83363353D01* +X137200680Y-83354648D01* +X137267224Y-83310494D01* +X137298319Y-83270694D01* +X137325063Y-83195446D01* +X137343101Y-83049301D01* +X137321339Y-82872061D01* +X137268481Y-82734621D01* +X137224327Y-82668077D01* +X137184527Y-82636981D01* +X137109278Y-82610239D01* D12* +X151483238Y-78197628D02* +X150991691Y-78257982D01* +X151267642Y-78473579D02* +X151207287Y-77982032D01* +X150376713Y-78582971D02* +X150745373Y-78537705D01* +X150561043Y-78560338D02* +X150481828Y-77915183D01* +X150554588Y-77999804D01* +X150623576Y-78053703D01* +X150688791Y-78076880D01* +X149898117Y-77986854D02* +X149836673Y-77994398D01* +X149779002Y-78032664D01* +X149752052Y-78067157D01* +X149728874Y-78132373D01* +X149713242Y-78259032D01* +X149732103Y-78412641D01* +X149777912Y-78531755D01* +X149816179Y-78589426D01* +X149850672Y-78616375D01* +X149915888Y-78639553D01* +X149977332Y-78632009D01* +X150035002Y-78593742D01* +X150061952Y-78559249D01* +X150085129Y-78494033D01* +X150100762Y-78367375D01* +X150081901Y-78213766D01* +X150036091Y-78094651D01* +X149997826Y-78036980D01* +X149963331Y-78010031D01* +X149898117Y-77986854D01* +X148902073Y-78764034D02* +X148959744Y-78725767D01* +X148986693Y-78691274D01* +X149009870Y-78626058D01* +X148987237Y-78441729D01* +X148948972Y-78384057D01* +X148914478Y-78357108D01* +X148849263Y-78333930D01* +X148757098Y-78345246D01* +X148699426Y-78383513D01* +X148672477Y-78418006D01* +X148649299Y-78483222D01* +X148671932Y-78667552D01* +X148710199Y-78725223D01* +X148744692Y-78752172D01* +X148809908Y-78775350D01* +X148902073Y-78764034D01* +X148357716Y-78394284D02* +X148410526Y-78824388D01* +X148365260Y-78455728D02* +X148330767Y-78428779D01* +X148265551Y-78405601D01* +X148173386Y-78416917D01* +X148115715Y-78455184D01* +X148092538Y-78520398D01* +X148134032Y-78858338D01* +X147282458Y-78526309D02* +X147335268Y-78956413D01* +X147290002Y-78587753D02* +X147255509Y-78560804D01* +X147190293Y-78537626D01* +X147098128Y-78548942D01* +X147040457Y-78587209D01* +X147017280Y-78652423D01* +X147058774Y-78990363D01* +X146751557Y-79028084D02* +X146698747Y-78597980D01* +X146672342Y-78382929D02* +X146706835Y-78409878D01* +X146679886Y-78444372D01* +X146645392Y-78417423D01* +X146672342Y-78382929D01* +X146679886Y-78444372D01* +X146115035Y-78669651D02* +X146179162Y-79191920D01* +X146217427Y-79249591D01* +X146251921Y-79276540D01* +X146317136Y-79299717D01* +X146409301Y-79288401D01* +X146466973Y-79250135D01* +X146164073Y-79069033D02* +X146229288Y-79092211D01* +X146352175Y-79077122D01* +X146409846Y-79038855D01* +X146436796Y-79004362D01* +X146459973Y-78939146D01* +X146437340Y-78754817D01* +X146399074Y-78697145D01* +X146364581Y-78670196D01* +X146299365Y-78647018D01* +X146176478Y-78662107D01* +X146118807Y-78700373D01* +X145860628Y-79137476D02* +X145781413Y-78492321D01* +X145584134Y-79171426D02* +X145542640Y-78833486D01* +X145565817Y-78768272D01* +X145623489Y-78730005D01* +X145715653Y-78718689D01* +X145780869Y-78741867D01* +X145815363Y-78768816D01* +X145316272Y-78767727D02* +X145070498Y-78797904D01* +X145197702Y-78563992D02* +X145265600Y-79116981D01* +X145242424Y-79182197D01* +X145184752Y-79220464D01* +X145123309Y-79228008D01* +X144425343Y-78877119D02* +X144324545Y-79326084D01* +X144118127Y-78914840D01* +X143925163Y-79375122D02* +X143872353Y-78945018D01* +X143845948Y-78729967D02* +X143880442Y-78756916D01* +X143853493Y-78791409D01* +X143818999Y-78764460D01* +X143845948Y-78729967D01* +X143853493Y-78791409D01* +X143644896Y-79378349D02* +X143587225Y-79416615D01* +X143464338Y-79431704D01* +X143399122Y-79408526D01* +X143360857Y-79350854D01* +X143357085Y-79320133D01* +X143380262Y-79254917D01* +X143437933Y-79216652D01* +X143530098Y-79205335D01* +X143587769Y-79167070D01* +X143610946Y-79101854D01* +X143607174Y-79071132D01* +X143568909Y-79013461D01* +X143503693Y-78990283D01* +X143411528Y-79001600D01* +X143353857Y-79039866D01* +X143095679Y-79476969D02* +X143042869Y-79046865D01* +X143016464Y-78831814D02* +X143050957Y-78858764D01* +X143024008Y-78893257D01* +X142989514Y-78866308D01* +X143016464Y-78831814D01* +X143024008Y-78893257D01* +X142696297Y-79526007D02* +X142753968Y-79487741D01* +X142780918Y-79453247D01* +X142804095Y-79388032D01* +X142781462Y-79203702D01* +X142743196Y-79146031D01* +X142708703Y-79119081D01* +X142643487Y-79095903D01* +X142551322Y-79107220D01* +X142493651Y-79145486D01* +X142466701Y-79179980D01* +X142443524Y-79245196D01* +X142466156Y-79429525D01* +X142504423Y-79487197D01* +X142538916Y-79514146D01* +X142604132Y-79537324D01* +X142696297Y-79526007D01* +X142151940Y-79156258D02* +X142204750Y-79586362D01* +X142159485Y-79217701D02* +X142124991Y-79190752D01* +X142059775Y-79167574D01* +X141967611Y-79178891D01* +X141909939Y-79217157D01* +X141886762Y-79282372D01* +X141928256Y-79620311D01* +D11* +X151720283Y-65918533D02* +X151663632Y-65271006D01* +X151442772Y-65942812D02* +X151413098Y-65603630D01* +X151438537Y-65539264D01* +X151497508Y-65503034D01* +X151590012Y-65494941D01* +X151654379Y-65520381D01* +X151687911Y-65548517D01* +X151189162Y-65530010D02* +X150942486Y-65551592D01* +X151077775Y-65322262D02* +X151126333Y-65877284D01* +X151100894Y-65941651D01* +X151041923Y-65977882D01* +X150980253Y-65983277D01* +X150819147Y-65562383D02* +X150572470Y-65583964D01* +X150707759Y-65354634D02* +X150756318Y-65909656D01* +X150730879Y-65974023D01* +X150671907Y-66010254D01* +X150610238Y-66015649D01* +X150356627Y-65602848D02* +X150413279Y-66250374D01* +X150359325Y-65633683D02* +X150294958Y-65608243D01* +X150171620Y-65619034D01* +X150112648Y-65655264D01* +X150084512Y-65688796D01* +X150059072Y-65753164D01* +X150075258Y-65938171D01* +X150111489Y-65997143D01* +X150145021Y-66025279D01* +X150209388Y-66050719D01* +X150332726Y-66039928D01* +X150391697Y-66003698D01* +X149836674Y-66052256D02* +X149777704Y-66088486D01* +X149654365Y-66099277D01* +X149589998Y-66073837D01* +X149553768Y-66014866D01* +X149551070Y-65984031D01* +X149576509Y-65919664D01* +X149635481Y-65883435D01* +X149727985Y-65875342D01* +X149786956Y-65839112D01* +X149812395Y-65774745D01* +X149809698Y-65743910D01* +X149773468Y-65684939D01* +X149709101Y-65659499D01* +X149616597Y-65667592D01* +X149557626Y-65703823D01* +X149278955Y-66069980D02* +X149250818Y-66103512D01* +X149284350Y-66131649D01* +X149312487Y-66098116D01* +X149278955Y-66069980D01* +X149284350Y-66131649D01* +X149249280Y-65730799D02* +X149221144Y-65764331D01* +X149254676Y-65792468D01* +X149282812Y-65758936D01* +X149249280Y-65730799D01* +X149254676Y-65792468D01* +X148454136Y-65520729D02* +X149081996Y-66304706D01* +X147775775Y-65580078D02* +X148403635Y-66364055D01* +X147304004Y-65869918D02* +X147349865Y-66394106D01* +X147386094Y-66453078D01* +X147419626Y-66481215D01* +X147483993Y-66506654D01* +X147576497Y-66498561D01* +X147635469Y-66462331D01* +X147339074Y-66270768D02* +X147403440Y-66296208D01* +X147526779Y-66285417D01* +X147585751Y-66249186D01* +X147613887Y-66215654D01* +X147639326Y-66151287D01* +X147623140Y-65966280D01* +X147586910Y-65907308D01* +X147553378Y-65879172D01* +X147489011Y-65853732D01* +X147365672Y-65864523D01* +X147306702Y-65900753D01* +X147033425Y-66328580D02* +X146995658Y-65896895D01* +X146976774Y-65681053D02* +X147010306Y-65709190D01* +X146982169Y-65742722D01* +X146948638Y-65714585D01* +X146976774Y-65681053D01* +X146982169Y-65742722D01* +X146779815Y-65915778D02* +X146533139Y-65937360D01* +X146668428Y-65708030D02* +X146716986Y-66263052D01* +X146691547Y-66327419D01* +X146632576Y-66363649D01* +X146570906Y-66369045D01* +X146355064Y-66387929D02* +X146298412Y-65740402D01* +X146077553Y-66412208D02* +X146047878Y-66073026D01* +X146073317Y-66008660D01* +X146132289Y-65972430D01* +X146224792Y-65964337D01* +X146289160Y-65989776D01* +X146322691Y-66017913D01* +X145453928Y-66031778D02* +X145491696Y-66463464D01* +X145731439Y-66007499D02* +X145761113Y-66346680D01* +X145735675Y-66411047D01* +X145676703Y-66447277D01* +X145584199Y-66455370D01* +X145519832Y-66429931D01* +X145486300Y-66401794D01* +X145183349Y-66490440D02* +X145126698Y-65842914D01* +X145148280Y-66089590D02* +X145083912Y-66064151D01* +X144960575Y-66074941D01* +X144901603Y-66111172D01* +X144873466Y-66144704D01* +X144848026Y-66209071D01* +X144864212Y-66394078D01* +X144900443Y-66453050D01* +X144933975Y-66481187D01* +X144998342Y-66506626D01* +X145121680Y-66495836D01* +X145180652Y-66459605D01* +X144592097Y-66480027D02* +X144563960Y-66513559D01* +X144597492Y-66541696D01* +X144625629Y-66508163D01* +X144592097Y-66480027D01* +X144597492Y-66541696D01* +X144008937Y-66562117D02* +X144073304Y-66587557D01* +X144196642Y-66576766D01* +X144255614Y-66540535D01* +X144283751Y-66507004D01* +X144309190Y-66442636D01* +X144293003Y-66257629D01* +X144256774Y-66198657D01* +X144223242Y-66170521D01* +X144158875Y-66145081D01* +X144035536Y-66155872D01* +X143976565Y-66192102D01* +X143641620Y-66625324D02* +X143700591Y-66589094D01* +X143728728Y-66555562D01* +X143754167Y-66491195D01* +X143737981Y-66306187D01* +X143701751Y-66247216D01* +X143668219Y-66219079D01* +X143603852Y-66193639D01* +X143511348Y-66201732D01* +X143452377Y-66237963D01* +X143424240Y-66271495D01* +X143398800Y-66335862D01* +X143414986Y-66520869D01* +X143451217Y-66579841D01* +X143484749Y-66607977D01* +X143549116Y-66633417D01* +X143641620Y-66625324D01* +X143148266Y-66668487D02* +X143110499Y-66236802D01* +X143115894Y-66298471D02* +X143082362Y-66270335D01* +X143017995Y-66244895D01* +X142925491Y-66252988D01* +X142866520Y-66289219D01* +X142841081Y-66353585D01* +X142870755Y-66692766D01* +X142841081Y-66353585D02* +X142804850Y-66294614D01* +X142740484Y-66269174D01* +X142647979Y-66277267D01* +X142589009Y-66313498D01* +X142563569Y-66377864D01* +X142593243Y-66717045D01* +X141763029Y-66106125D02* +X142390889Y-66890102D01* +X141298190Y-66830348D02* +X141606536Y-66803371D01* +X141549885Y-66155844D01* +X140989845Y-66857324D02* +X141048817Y-66821094D01* +X141076953Y-66787562D01* +X141102392Y-66723195D01* +X141086206Y-66538188D01* +X141049976Y-66479216D01* +X141016444Y-66451079D01* +X140952077Y-66425639D01* +X140859574Y-66433732D01* +X140800602Y-66469963D01* +X140772465Y-66503495D01* +X140747026Y-66567862D01* +X140763212Y-66752869D01* +X140799442Y-66811841D01* +X140832974Y-66839978D01* +X140897341Y-66865417D01* +X140989845Y-66857324D01* +X140458724Y-66468802D02* +X140496491Y-66900487D01* +X140464119Y-66530472D02* +X140430587Y-66502335D01* +X140366220Y-66476895D01* +X140273717Y-66484988D01* +X140214745Y-66521219D01* +X140189306Y-66585585D01* +X140218980Y-66924766D01* +X139595356Y-66544337D02* +X139641216Y-67068526D01* +X139677446Y-67127498D01* +X139710978Y-67155634D01* +X139775345Y-67181073D01* +X139867849Y-67172980D01* +X139926820Y-67136750D01* +X139630426Y-66945187D02* +X139694792Y-66970627D01* +X139818131Y-66959836D01* +X139877102Y-66923606D01* +X139905239Y-66890074D01* +X139930678Y-66825707D01* +X139914492Y-66640699D01* +X139878262Y-66581728D01* +X139844730Y-66553591D01* +X139780363Y-66528151D01* +X139657024Y-66538942D01* +X139598054Y-66575172D01* +X139324777Y-67002999D02* +X139268126Y-66355472D01* +X139295103Y-66663818D02* +X138925088Y-66696190D01* +X138954763Y-67035371D02* +X138898111Y-66387844D01* +X138368905Y-67086627D02* +X138339231Y-66747446D01* +X138364670Y-66683079D01* +X138423642Y-66646849D01* +X138546979Y-66636058D01* +X138611347Y-66661498D01* +X138366208Y-67055792D02* +X138430574Y-67081232D01* +X138584747Y-67067743D01* +X138643719Y-67031513D01* +X138669157Y-66967146D01* +X138663762Y-66905477D01* +X138627533Y-66846505D01* +X138563166Y-66821066D01* +X138408992Y-66834555D01* +X138344626Y-66809115D01* +X138060559Y-67113604D02* +X138022792Y-66681919D01* +X138003908Y-66466077D02* +X138037440Y-66494214D01* +X138009303Y-66527746D01* +X137975771Y-66499609D01* +X138003908Y-66466077D01* +X138009303Y-66527746D01* +X137752213Y-67140580D02* +X137714446Y-66708895D01* +X137725236Y-66832234D02* +X137689007Y-66773263D01* +X137655474Y-66745126D01* +X137591108Y-66719686D01* +X137529438Y-66725081D01* +X137101989Y-67166397D02* +X137166356Y-67191836D01* +X137289694Y-67181046D01* +X137348666Y-67144815D01* +X137374104Y-67080448D01* +X137352523Y-66833771D01* +X137316293Y-66774800D01* +X137251926Y-66749361D01* +X137128588Y-66760151D01* +X137069617Y-66796382D01* +X137044178Y-66860748D01* +X137049573Y-66922417D01* +X137363314Y-66957110D01* +X136518829Y-67248488D02* +X136462178Y-66600961D01* +X136516132Y-67217652D02* +X136580498Y-67243092D01* +X136703837Y-67232302D01* +X136762808Y-67196071D01* +X136790945Y-67162539D01* +X136816384Y-67098172D01* +X136800198Y-66913165D01* +X136763968Y-66854193D01* +X136730436Y-66826056D01* +X136666069Y-66800617D01* +X136542730Y-66811407D01* +X136483760Y-66847638D01* +X136210483Y-67275464D02* +X136153832Y-66627938D01* +X136180809Y-66936283D02* +X135810794Y-66968655D01* +X135840469Y-67307836D02* +X135783817Y-66660310D01* +X135254612Y-67359092D02* +X135224937Y-67019911D01* +X135250376Y-66955545D01* +X135309348Y-66919314D01* +X135432686Y-66908524D01* +X135497053Y-66933963D01* +X135251914Y-67328257D02* +X135316280Y-67353697D01* +X135470453Y-67340209D01* +X135529425Y-67303978D01* +X135554864Y-67239611D01* +X135549468Y-67177943D01* +X135513239Y-67118971D01* +X135448872Y-67093532D01* +X135294699Y-67107020D01* +X135230332Y-67081580D01* +X134666057Y-67379513D02* +X134730423Y-67404953D01* +X134853762Y-67394162D01* +X134912733Y-67357932D01* +X134940870Y-67324400D01* +X134966309Y-67260033D01* +X134950123Y-67075025D01* +X134913893Y-67016054D01* +X134880361Y-66987917D01* +X134815994Y-66962477D01* +X134692655Y-66973268D01* +X134633685Y-67009498D01* +X134391242Y-67434627D02* +X134334591Y-66787101D01* +X134307992Y-67193346D02* +X134144566Y-67456209D01* +X134106798Y-67024524D02* +X134375056Y-67249619D01* +X133617680Y-67471234D02* +X133682047Y-67496674D01* +X133805385Y-67485883D01* +X133864357Y-67449653D01* +X133889796Y-67385286D01* +X133868214Y-67138609D01* +X133831985Y-67079638D01* +X133767618Y-67054198D01* +X133644280Y-67064989D01* +X133585308Y-67101219D01* +X133559869Y-67165585D01* +X133565265Y-67227255D01* +X133879005Y-67261948D01* +X133312032Y-67529046D02* +X133274264Y-67097361D01* +X133285055Y-67220700D02* +X133248825Y-67161728D01* +X133215293Y-67133592D01* +X133150926Y-67108152D01* +X133089257Y-67113547D01* +X132389314Y-66926219D02* +X133017174Y-67710196D01* +X131924475Y-67650441D02* +X132232821Y-67623465D01* +X132176170Y-66975938D01* +X131708634Y-67669325D02* +X131670866Y-67237640D01* +X131651982Y-67021799D02* +X131685514Y-67049935D01* +X131657378Y-67083467D01* +X131623846Y-67055330D01* +X131651982Y-67021799D01* +X131657378Y-67083467D01* +X131362520Y-67264617D02* +X131419171Y-67912143D01* +X131365218Y-67295452D02* +X131300850Y-67270012D01* +X131177513Y-67280803D01* +X131118541Y-67317034D01* +X131090404Y-67350565D01* +X131064964Y-67414933D01* +X131081151Y-67599940D01* +X131117381Y-67658912D01* +X131150913Y-67687048D01* +X131215280Y-67712488D01* +X131338618Y-67701697D01* +X131397590Y-67665467D01* +X130721927Y-67755651D02* +X130780898Y-67719420D01* +X130809035Y-67685888D01* +X130834474Y-67621521D01* +X130818288Y-67436514D01* +X130782058Y-67377542D01* +X130748526Y-67349406D01* +X130684159Y-67323966D01* +X130591655Y-67332059D01* +X130532684Y-67368289D01* +X130504547Y-67401821D01* +X130479107Y-67466188D01* +X130495293Y-67651196D01* +X130531524Y-67710167D01* +X130565056Y-67738304D01* +X130629423Y-67763744D01* +X130721927Y-67755651D01* +X129835439Y-67211796D02* +X129894411Y-67175566D01* +X129986915Y-67167473D01* +X130082116Y-67190214D01* +X130149181Y-67246488D01* +X130185410Y-67305460D01* +X130227035Y-67426100D01* +X130235128Y-67518604D01* +X130215085Y-67644641D01* +X130189646Y-67709007D01* +X130133372Y-67776071D01* +X130043566Y-67815000D01* +X129981896Y-67820395D01* +X129886695Y-67797653D01* +X129853163Y-67769516D01* +X129834279Y-67553674D01* +X129957617Y-67542883D01* +X129265768Y-67448059D02* +X129303536Y-67879744D01* +X129543279Y-67423780D02* +X129572954Y-67762960D01* +X129547515Y-67827327D01* +X129488543Y-67863558D01* +X129396039Y-67871651D01* +X129331672Y-67846211D01* +X129298140Y-67818075D01* +X128717679Y-67931000D02* +X128688004Y-67591818D01* +X128713443Y-67527452D01* +X128772415Y-67491222D01* +X128895753Y-67480431D01* +X128960120Y-67505871D01* +X128714981Y-67900165D02* +X128779347Y-67925605D01* +X128933520Y-67912116D01* +X128992492Y-67875886D01* +X129017931Y-67811518D01* +X129012535Y-67749850D01* +X128976306Y-67690878D01* +X128911939Y-67665439D01* +X128757766Y-67678928D01* +X128693399Y-67653488D01* +X128409332Y-67957977D02* +X128371565Y-67526292D01* +X128382356Y-67649630D02* +X128346126Y-67590659D01* +X128312593Y-67562522D01* +X128248227Y-67537082D01* +X128186558Y-67542478D01* +X127730972Y-68017326D02* +X127674320Y-67369799D01* +X127728274Y-67986490D02* +X127792640Y-68011930D01* +X127915979Y-68001139D01* +X127974951Y-67964909D01* +X128003087Y-67931377D01* +X128028526Y-67867010D01* +X128012340Y-67682003D01* +X127976110Y-67623031D01* +X127942579Y-67594894D01* +X127878211Y-67569454D01* +X127754873Y-67580245D01* +X127695902Y-67616476D01* +D13* +X155495476Y-69157619D02* +X155447857Y-69110000D01* +X155352619Y-69062381D01* +X155114523Y-69062381D01* +X155019285Y-69110000D01* +X154971666Y-69157619D01* +X154924047Y-69252857D01* +X154924047Y-69348095D01* +X154971666Y-69490952D01* +X155543095Y-70062381D01* +X154924047Y-70062381D01* +X154066904Y-69395714D02* +X154066904Y-70062381D01* +X154305000Y-69014762D02* +X154543095Y-69729048D01* +X153924047Y-69729048D01* +X153685952Y-69062381D02* +X153352619Y-70062381D01* +X153019285Y-69062381D01* +X154495476Y-70812381D02* +X154971667Y-70812381D01* +X155019286Y-71288571D01* +X154971667Y-71240952D01* +X154876429Y-71193333D01* +X154638333Y-71193333D01* +X154543095Y-71240952D01* +X154495476Y-71288571D01* +X154447857Y-71383810D01* +X154447857Y-71621905D01* +X154495476Y-71717143D01* +X154543095Y-71764762D01* +X154638333Y-71812381D01* +X154876429Y-71812381D01* +X154971667Y-71764762D01* +X155019286Y-71717143D01* +X154066905Y-71526667D02* +X153590714Y-71526667D01* +X154162143Y-71812381D02* +X153828810Y-70812381D01* +X153495476Y-71812381D01* +D11* +X136864568Y-68320602D02* +X136125962Y-68190366D01* +X136076349Y-68471740D01* +X136099117Y-68548285D01* +X136128086Y-68589659D01* +X136192228Y-68637234D01* +X136297744Y-68655839D01* +X136374288Y-68633071D01* +X136415662Y-68604100D01* +X136463237Y-68539959D01* +X136512851Y-68258585D01* +X136734332Y-69059208D02* +X136241928Y-68972384D01* +X136382615Y-68997191D02* +X136306069Y-69019960D01* +X136264696Y-69048929D01* +X136217121Y-69113071D01* +X136204718Y-69183414D01* +X136568923Y-69791613D02* +X136616499Y-69727471D01* +X136641306Y-69586784D01* +X136618537Y-69510238D01* +X136554396Y-69462664D01* +X136273023Y-69413050D01* +X136196477Y-69435818D01* +X136148902Y-69499960D01* +X136124095Y-69640647D01* +X136146863Y-69717192D01* +X136211006Y-69764767D01* +X136281348Y-69777171D01* +X136413709Y-69437857D01* +X136062078Y-69992364D02* +X136523474Y-70255046D01* +X136000061Y-70344081D01* +X136358065Y-70987451D02* +X136405641Y-70923309D01* +X136430448Y-70782622D01* +X136407679Y-70706077D01* +X136343538Y-70658502D01* +X136062164Y-70608888D01* +X135985619Y-70631656D01* +X135938044Y-70695798D01* +X135913237Y-70836485D01* +X135936005Y-70913031D01* +X136000147Y-70960605D01* +X136070490Y-70973009D01* +X136202851Y-70633695D01* +X135838816Y-71258545D02* +X136331220Y-71345369D01* +X135909159Y-71270948D02* +X135867786Y-71299919D01* +X135820211Y-71364060D01* +X135801606Y-71469576D01* +X135824374Y-71546121D01* +X135888516Y-71593696D01* +X136275405Y-71661915D01* +X135739589Y-71821293D02* +X135689975Y-72102666D01* +X135474782Y-71883395D02* +X136107872Y-71995026D01* +X136172013Y-72042602D01* +X136194782Y-72119146D01* +X136182379Y-72189490D01* +X136109996Y-72394318D02* +X136132765Y-72470864D01* +X136107958Y-72611550D01* +X136060383Y-72675691D01* +X135983838Y-72698460D01* +X135948666Y-72692259D01* +X135884524Y-72644683D01* +X135861756Y-72568138D01* +X135880362Y-72462623D01* +X135857593Y-72386077D01* +X135793452Y-72338503D01* +X135758280Y-72332301D01* +X135681735Y-72355069D01* +X135634160Y-72419211D01* +X135615554Y-72524726D01* +X135638323Y-72601271D01* +X135386091Y-73826080D02* +X135878495Y-73912904D01* +X135441906Y-73509534D02* +X135828795Y-73577753D01* +X135892936Y-73625329D01* +X135915705Y-73701873D01* +X135897100Y-73807389D01* +X135849524Y-73871531D01* +X135808151Y-73900500D01* +X135324074Y-74177796D02* +X136062679Y-74308032D01* +X135359245Y-74183998D02* +X135311670Y-74248140D01* +X135286863Y-74388826D01* +X135309631Y-74465372D01* +X135338601Y-74506745D01* +X135402743Y-74554320D01* +X135613774Y-74591530D01* +X135690318Y-74568762D01* +X135731691Y-74539792D01* +X135779267Y-74475651D01* +X135804074Y-74334964D01* +X135781305Y-74258418D01* +X135125618Y-75303291D02* +X135076005Y-75584665D01* +X134860812Y-75365394D02* +X135493902Y-75477024D01* +X135558043Y-75524600D01* +X135580812Y-75601145D01* +X135568409Y-75671489D01* +X135506392Y-76023205D02* +X135483622Y-75946660D01* +X135454653Y-75905286D01* +X135390512Y-75857711D01* +X135179481Y-75820501D01* +X135102936Y-75843269D01* +X135061562Y-75872240D01* +X135013988Y-75936381D01* +X134995382Y-76041896D01* +X135018150Y-76118442D01* +X135047120Y-76159815D01* +X135111262Y-76207390D01* +X135322293Y-76244600D01* +X135398837Y-76221832D01* +X135440210Y-76192862D01* +X135487786Y-76128720D01* +X135506392Y-76023205D01* +X134867270Y-77179794D02* +X134844502Y-77103249D01* +X134815533Y-77061875D01* +X134751390Y-77014300D01* +X134716219Y-77008099D01* +X134639674Y-77030867D01* +X134598300Y-77059837D01* +X134550726Y-77123979D01* +X134525919Y-77264665D01* +X134548687Y-77341211D01* +X134577656Y-77382584D01* +X134641798Y-77430160D01* +X134676970Y-77436361D01* +X134753515Y-77413593D01* +X134794889Y-77384623D01* +X134842463Y-77320481D01* +X134867270Y-77179794D01* +X134914846Y-77115653D01* +X134956219Y-77086682D01* +X135032764Y-77063914D01* +X135173452Y-77088721D01* +X135237593Y-77136296D01* +X135266562Y-77177670D01* +X135289331Y-77254215D01* +X135264524Y-77394901D01* +X135216949Y-77459043D01* +X135175575Y-77488013D01* +X135099031Y-77510782D01* +X134958343Y-77485975D01* +X134894202Y-77438399D01* +X134865232Y-77397026D01* +X134842463Y-77320481D01* +X134420489Y-77862585D02* +X134408086Y-77932928D01* +X134430854Y-78009474D01* +X134459824Y-78050847D01* +X134523966Y-78098422D01* +X134658451Y-78158401D01* +X134834309Y-78189410D01* +X134981198Y-78179044D01* +X135057743Y-78156276D01* +X135099116Y-78127306D01* +X135146692Y-78063164D01* +X135159095Y-77992821D01* +X135136326Y-77916276D01* +X135107356Y-77874903D01* +X135043215Y-77827327D01* +X134908729Y-77767348D01* +X134732871Y-77736340D01* +X134585983Y-77746705D01* +X134509437Y-77769473D01* +X134468064Y-77798443D01* +X134420489Y-77862585D01* +X135066069Y-78520397D02* +X134228236Y-78952908D01* +X134308858Y-78495676D02* +X134331626Y-78572221D01* +X134395768Y-78619796D01* +X134472313Y-78597028D01* +X134519888Y-78532886D01* +X134497120Y-78456341D01* +X134432979Y-78408766D01* +X134356433Y-78431534D01* +X134308858Y-78495676D01* +X134937871Y-79041770D02* +X134861326Y-79064539D01* +X134797184Y-79016963D01* +X134774416Y-78940419D01* +X134821991Y-78876277D01* +X134898537Y-78853509D01* +X134962678Y-78901084D01* +X134985447Y-78977629D01* +X134937871Y-79041770D01* +X134793193Y-80067952D02* +X134770424Y-79991408D01* +X134741455Y-79950033D01* +X134677314Y-79902459D01* +X134466283Y-79865248D01* +X134389738Y-79888016D01* +X134348364Y-79916987D01* +X134300790Y-79981128D01* +X134282184Y-80086643D01* +X134304952Y-80163189D01* +X134333922Y-80204562D01* +X134398064Y-80252137D01* +X134609095Y-80289347D01* +X134685639Y-80266579D01* +X134727012Y-80237609D01* +X134774588Y-80173467D01* +X134793193Y-80067952D01* +X134220167Y-80438361D02* +X134170553Y-80719734D01* +X134693966Y-80630699D02* +X134060875Y-80519068D01* +X133984330Y-80541837D01* +X133936755Y-80605978D01* +X133924352Y-80676322D01* +X134464502Y-81932052D02* +X134077614Y-81863834D01* +X134013472Y-81816259D01* +X133990704Y-81739713D01* +X134015510Y-81599026D01* +X134063085Y-81534884D01* +X134429330Y-81925851D02* +X134476906Y-81861709D01* +X134507914Y-81685851D01* +X134485145Y-81609305D01* +X134421004Y-81561730D01* +X134350660Y-81549327D01* +X134274116Y-81572095D01* +X134226540Y-81636237D01* +X134195531Y-81812095D01* +X134147957Y-81876237D01* +X134383880Y-82389284D02* +X134361111Y-82312739D01* +X134296970Y-82265164D01* +X133663879Y-82153533D01* +X134315661Y-82776173D02* +X134292892Y-82699628D01* +X134228751Y-82652052D01* +X133595661Y-82540421D01* +X138063319Y-68658904D02* +X138086088Y-68735450D01* +X138061282Y-68876137D01* +X138013706Y-68940278D01* +X137937161Y-68963047D01* +X137901990Y-68956845D01* +X137837848Y-68909269D01* +X137815080Y-68832724D01* +X137833685Y-68727209D01* +X137810916Y-68650664D01* +X137746775Y-68603089D01* +X137711603Y-68596887D01* +X137635058Y-68619655D01* +X137587483Y-68683797D01* +X137568878Y-68789312D01* +X137591646Y-68865857D01* +X137494457Y-69211372D02* +X138233063Y-69341609D01* +X137529629Y-69217574D02* +X137482054Y-69281716D01* +X137457247Y-69422403D01* +X137480015Y-69498948D01* +X137508984Y-69540322D01* +X137573127Y-69587897D01* +X137784157Y-69625107D01* +X137860702Y-69602339D01* +X137902075Y-69573369D01* +X137949651Y-69509227D01* +X137974457Y-69368540D01* +X137951689Y-69291995D01* +X137850423Y-70071974D02* +X137827654Y-69995429D01* +X137798685Y-69954055D01* +X137734543Y-69906480D01* +X137523513Y-69869270D01* +X137446967Y-69892038D01* +X137405594Y-69921009D01* +X137358019Y-69985150D01* +X137339414Y-70090665D01* +X137362182Y-70167210D01* +X137391152Y-70208584D01* +X137455294Y-70256158D01* +X137666324Y-70293369D01* +X137742869Y-70270601D01* +X137784242Y-70241631D01* +X137831818Y-70177489D01* +X137850423Y-70071974D01* +X137258792Y-70547896D02* +X137751196Y-70634721D01* +X137329135Y-70560300D02* +X137287761Y-70589271D01* +X137240187Y-70653412D01* +X137221581Y-70758927D01* +X137244349Y-70835472D01* +X137308492Y-70883047D01* +X137695380Y-70951266D01* +X137159564Y-71110644D02* +X137109951Y-71392017D01* +X136894757Y-71172746D02* +X137527848Y-71284377D01* +X137591989Y-71331953D01* +X137614758Y-71408498D01* +X137602354Y-71478842D01* +X137503127Y-72041588D02* +X137116238Y-71973369D01* +X137052096Y-71925794D01* +X137029328Y-71849249D01* +X137054135Y-71708562D01* +X137101710Y-71644420D01* +X137467955Y-72035386D02* +X137515530Y-71971244D01* +X137546539Y-71795386D01* +X137523770Y-71718841D01* +X137459629Y-71671266D01* +X137389285Y-71658862D01* +X137312741Y-71681630D01* +X137265165Y-71745772D01* +X137234156Y-71921631D01* +X137186581Y-71985773D01* +X136948706Y-72306480D02* +X137441110Y-72393305D01* +X137019049Y-72318884D02* +X136977676Y-72347855D01* +X136930101Y-72411996D01* +X136911496Y-72517511D01* +X136934264Y-72594056D01* +X136998406Y-72641631D01* +X137385294Y-72709850D01* +X137238491Y-73336739D02* +X137286067Y-73272597D01* +X137310874Y-73131910D01* +X137288105Y-73055365D01* +X137223964Y-73007790D01* +X136942590Y-72958176D01* +X136866045Y-72980944D01* +X136818470Y-73045086D01* +X136793663Y-73185773D01* +X136816431Y-73262318D01* +X136880573Y-73309893D01* +X136950916Y-73322297D01* +X137083277Y-72982983D01* +X137193041Y-73800172D02* +X137170272Y-73723628D01* +X137141303Y-73682254D01* +X137077161Y-73634679D01* +X136866131Y-73597468D01* +X136789585Y-73620236D01* +X136748212Y-73649207D01* +X136700637Y-73713348D01* +X136682032Y-73818863D01* +X136704800Y-73895409D01* +X136733770Y-73936782D01* +X136797912Y-73984357D01* +X137008942Y-74021567D01* +X137085487Y-73998799D01* +X137126860Y-73969830D01* +X137174436Y-73905688D01* +X137193041Y-73800172D01* +X136545594Y-74592641D02* +X137037998Y-74679465D01* +X136601410Y-74276095D02* +X136988298Y-74344314D01* +X137052439Y-74391890D01* +X137075209Y-74468434D01* +X137056603Y-74573950D01* +X137009027Y-74638092D01* +X136967654Y-74667061D01* +X136947010Y-74989808D02* +X136969779Y-75066353D01* +X136944972Y-75207040D01* +X136897397Y-75271181D01* +X136820852Y-75293950D01* +X136785681Y-75287749D01* +X136721539Y-75240173D01* +X136698770Y-75163628D01* +X136717376Y-75058113D01* +X136694607Y-74981567D01* +X136630466Y-74933992D01* +X136595294Y-74927791D01* +X136518749Y-74950559D01* +X136471174Y-75014701D01* +X136452569Y-75120216D01* +X136475337Y-75196761D01* +X136680336Y-76502192D02* +X136727912Y-76438050D01* +X136752719Y-76297363D01* +X136729950Y-76220818D01* +X136700980Y-76179444D01* +X136636839Y-76131870D01* +X136425809Y-76094659D01* +X136349263Y-76117427D01* +X136307890Y-76146398D01* +X136260315Y-76210539D01* +X136235508Y-76351226D01* +X136258276Y-76427771D01* +X136641088Y-76930454D02* +X136618319Y-76853909D01* +X136589349Y-76812535D01* +X136525208Y-76764960D01* +X136314178Y-76727750D01* +X136237632Y-76750518D01* +X136196259Y-76779488D01* +X136148684Y-76843630D01* +X136130079Y-76949145D01* +X136152847Y-77025690D01* +X136181817Y-77067063D01* +X136245959Y-77114638D01* +X136456989Y-77151849D01* +X136533534Y-77129081D01* +X136574907Y-77100111D01* +X136622483Y-77035969D01* +X136641088Y-76930454D01* +X136541861Y-77493200D02* +X136049457Y-77406376D01* +X136119800Y-77418780D02* +X136078426Y-77447750D01* +X136030852Y-77511892D01* +X136012246Y-77617407D01* +X136035014Y-77693952D01* +X136099156Y-77741527D01* +X136486045Y-77809746D01* +X136099156Y-77741527D02* +X136022611Y-77764295D01* +X135975036Y-77828437D01* +X135956431Y-77933953D01* +X135979199Y-78010497D01* +X136043341Y-78058073D01* +X136430230Y-78126292D01* +X136368212Y-78478008D02* +X135629607Y-78347772D01* +X135910980Y-78397386D02* +X135863405Y-78461528D01* +X135838598Y-78602215D01* +X135861366Y-78678760D01* +X135890336Y-78720133D01* +X135954478Y-78767708D01* +X136165509Y-78804918D01* +X136242053Y-78782150D01* +X136283426Y-78753181D01* +X136331002Y-78689039D01* +X136355809Y-78548352D01* +X136333040Y-78471806D01* +X135702160Y-79375992D02* +X136194564Y-79462816D01* +X135757976Y-79059446D02* +X136144865Y-79127665D01* +X136209006Y-79175241D01* +X136231775Y-79251785D01* +X136213170Y-79357301D01* +X136165594Y-79421443D01* +X136124220Y-79450412D01* +X136103577Y-79773159D02* +X136126345Y-79849705D01* +X136101539Y-79990391D01* +X136053963Y-80054532D01* +X135977418Y-80077301D01* +X135942247Y-80071100D01* +X135878105Y-80023524D01* +X135855337Y-79946979D01* +X135873942Y-79841464D01* +X135851173Y-79764918D01* +X135787032Y-79717343D01* +X135751860Y-79711142D01* +X135675315Y-79733910D01* +X135627740Y-79798052D01* +X135609135Y-79903567D01* +X135631903Y-79980112D01* +X135553319Y-80220113D02* +X135503705Y-80501486D01* +X135288512Y-80282215D02* +X135921603Y-80393846D01* +X135985744Y-80441422D01* +X136008513Y-80517967D01* +X135996109Y-80588310D01* +X135952697Y-80834511D02* +X135460294Y-80747687D01* +X135214092Y-80704275D02* +X135255465Y-80675305D01* +X135284434Y-80716679D01* +X135243061Y-80745649D01* +X135214092Y-80704275D01* +X135284434Y-80716679D01* +X135872075Y-81291744D02* +X135849306Y-81215199D01* +X135820336Y-81173825D01* +X135756195Y-81126250D01* +X135545165Y-81089040D01* +X135468619Y-81111808D01* +X135427246Y-81140778D01* +X135379671Y-81204920D01* +X135361066Y-81310435D01* +X135383834Y-81386980D01* +X135412804Y-81428354D01* +X135476946Y-81475928D01* +X135687976Y-81513139D01* +X135764521Y-81490371D01* +X135805894Y-81461401D01* +X135853470Y-81397259D01* +X135872075Y-81291744D01* +X135280444Y-81767666D02* +X135772848Y-81854490D01* +X135350787Y-81780070D02* +X135309413Y-81809040D01* +X135261839Y-81873182D01* +X135243233Y-81978697D01* +X135266001Y-82055242D01* +X135330143Y-82102817D01* +X135717032Y-82171036D01* +X135626044Y-82481379D02* +X135648813Y-82557925D01* +X135624006Y-82698612D01* +X135576430Y-82762753D01* +X135499886Y-82785522D01* +X135464714Y-82779320D01* +X135400572Y-82731744D01* +X135377804Y-82655200D01* +X135396409Y-82549684D01* +X135373641Y-82473139D01* +X135309499Y-82425564D01* +X135274328Y-82419362D01* +X135197782Y-82442130D01* +X135150208Y-82506272D01* +X135131602Y-82611787D01* +X135154370Y-82688332D01* +X159555009Y-70942400D02* +X159146529Y-70313397D01* +X159228633Y-70898844D01* +X158727194Y-70585716D01* +X159135673Y-71214719D01* +X158566575Y-71584296D02* +X158352610Y-71254818D01* +X158343659Y-71175462D01* +X158384113Y-71106606D01* +X158503923Y-71028801D01* +X158583280Y-71019850D01* +X158547123Y-71554343D02* +X158626480Y-71545393D01* +X158776243Y-71448136D01* +X158816696Y-71379280D01* +X158807746Y-71299924D01* +X158768843Y-71240019D01* +X158699988Y-71199565D01* +X158620631Y-71208515D01* +X158470869Y-71305772D01* +X158391512Y-71314723D01* +X157725158Y-71534537D02* +X158055832Y-72043730D01* +X158124687Y-72084183D01* +X158174091Y-72094684D01* +X158253447Y-72085734D01* +X158343305Y-72027380D01* +X158383759Y-71958524D01* +X157978026Y-71923919D02* +X158057383Y-71914969D01* +X158177193Y-71837164D01* +X158217646Y-71768308D01* +X158228148Y-71718904D01* +X158219197Y-71639548D01* +X158102489Y-71459833D01* +X158033634Y-71419379D01* +X157984229Y-71408878D01* +X157904873Y-71417828D01* +X157785063Y-71495634D01* +X157744609Y-71564489D01* +X157697953Y-72148386D02* +X157425634Y-71729050D01* +X157289474Y-71519383D02* +X157338877Y-71529884D01* +X157328376Y-71579287D01* +X157278972Y-71568787D01* +X157289474Y-71519383D01* +X157328376Y-71579287D01* +X157109403Y-72488009D02* +X157188760Y-72479059D01* +X157308570Y-72401254D01* +X157349023Y-72332398D01* +X157359525Y-72282994D01* +X157350575Y-72203638D01* +X157233866Y-72023923D01* +X157165011Y-71983469D01* +X157115606Y-71972968D01* +X157036251Y-71981919D01* +X156916441Y-72059724D01* +X156875986Y-72128579D01* +X156390542Y-72954843D02* +X156350089Y-73023699D01* +X156230279Y-73101504D01* +X156150922Y-73110454D01* +X156082067Y-73070001D01* +X156062616Y-73040049D01* +X156053666Y-72960692D01* +X156094119Y-72891837D01* +X156183977Y-72833483D01* +X156224430Y-72764626D01* +X156215480Y-72685271D01* +X156196029Y-72655318D01* +X156127174Y-72614864D01* +X156047817Y-72623815D01* +X155957959Y-72682169D01* +X155917506Y-72751024D01* +X155870849Y-73334921D02* +X155598529Y-72915586D01* +X155637432Y-72975490D02* +X155588027Y-72964990D01* +X155508672Y-72973940D01* +X155418814Y-73032294D01* +X155378360Y-73101150D01* +X155387311Y-73180506D01* +X155601276Y-73509984D01* +X155387311Y-73180506D02* +X155318455Y-73140052D01* +X155239099Y-73149003D01* +X155149241Y-73207357D01* +X155108788Y-73276212D01* +X155117738Y-73355569D01* +X155331703Y-73685047D01* +X154942321Y-73937914D02* +X154982773Y-73869059D01* +X154993275Y-73819655D01* +X154984325Y-73740299D01* +X154867617Y-73560583D01* +X154798761Y-73520129D01* +X154749357Y-73509629D01* +X154670001Y-73518579D01* +X154580143Y-73576933D01* +X154539689Y-73645789D01* +X154529188Y-73695192D01* +X154538139Y-73774549D01* +X154654847Y-73954264D01* +X154723702Y-73994717D01* +X154773106Y-74005218D01* +X154852463Y-73996269D01* +X154942321Y-73937914D01* +X154463081Y-74249136D02* +X154054601Y-73620133D01* +X154247564Y-74048419D02* +X154223460Y-74404748D01* +X153951140Y-73985413D02* +X154346372Y-74069421D01* +X153694815Y-74705468D02* +X153774172Y-74696519D01* +X153893982Y-74618713D01* +X153934436Y-74549857D01* +X153925486Y-74470501D01* +X153769875Y-74230881D01* +X153701020Y-74190427D01* +X153621663Y-74199378D01* +X153501853Y-74277183D01* +X153461399Y-74346039D01* +X153470350Y-74425395D01* +X153509252Y-74485300D01* +X153847680Y-74350691D01* +X152935502Y-75241158D02* +X152663182Y-74821822D01* +X152740988Y-74941632D02* +X152672132Y-74901179D01* +X152622728Y-74890678D01* +X152543372Y-74899628D01* +X152483467Y-74938531D01* +X152287046Y-75619684D02* +X152366404Y-75610734D01* +X152486213Y-75532929D01* +X152526667Y-75464073D01* +X152517717Y-75384717D01* +X152362106Y-75145097D01* +X152293251Y-75104643D01* +X152213894Y-75113594D01* +X152094084Y-75191399D01* +X152053630Y-75260254D01* +X152062581Y-75339611D01* +X152101483Y-75399516D01* +X152439911Y-75264907D01* +X151824511Y-75366462D02* +X151584891Y-75522073D01* +X152006974Y-75844151D02* +X151656849Y-75305006D01* +X151587992Y-75264552D01* +X151508637Y-75273502D01* +X151448731Y-75312405D01* +X151647544Y-76077567D02* +X151375224Y-75658232D01* +X151239064Y-75448564D02* +X151288468Y-75459066D01* +X151277967Y-75508469D01* +X151228563Y-75497969D01* +X151239064Y-75448564D01* +X151277967Y-75508469D01* +X151258161Y-76330436D02* +X151298614Y-76261580D01* +X151289664Y-76182224D01* +X150939539Y-75643078D01* +X150928683Y-76544401D02* +X150969136Y-76475545D01* +X150960187Y-76396189D01* +X150610062Y-75857044D01* +X150699564Y-76650608D02* +X150659110Y-76719464D01* +X150539300Y-76797269D01* +X150459944Y-76806219D01* +X150391088Y-76765766D01* +X150371637Y-76735814D01* +X150362687Y-76656456D01* +X150403140Y-76587602D01* +X150492998Y-76529247D01* +X150533452Y-76460391D01* +X150524501Y-76381035D01* +X150505050Y-76351083D01* +X150436195Y-76310629D01* +X150356838Y-76319580D01* +X150266981Y-76377934D01* +X150226527Y-76446789D01* +X158971965Y-72798711D02* +X158931512Y-72867567D01* +X158811702Y-72945372D01* +X158732345Y-72954322D01* +X158663490Y-72913869D01* +X158644039Y-72883917D01* +X158635089Y-72804559D01* +X158675542Y-72735705D01* +X158765400Y-72677350D01* +X158805853Y-72608494D01* +X158796903Y-72529138D01* +X158777452Y-72499186D01* +X158708596Y-72458732D01* +X158629240Y-72467683D01* +X158539382Y-72526037D01* +X158498929Y-72594892D01* +X158362414Y-73237143D02* +X158402867Y-73168287D01* +X158413369Y-73118883D01* +X158404419Y-73039528D01* +X158287710Y-72859812D01* +X158218855Y-72819358D01* +X158169450Y-72808857D01* +X158090095Y-72817808D01* +X158000237Y-72876162D01* +X157959783Y-72945017D01* +X157949282Y-72994421D01* +X157958232Y-73073777D01* +X158074941Y-73253493D01* +X158143796Y-73293946D01* +X158193199Y-73304447D01* +X158272556Y-73295497D01* +X158362414Y-73237143D01* +X157793317Y-73606719D02* +X157833769Y-73537864D01* +X157824820Y-73458507D01* +X157474695Y-72919362D01* +X157284124Y-73937393D02* +X156875644Y-73308390D01* +X157264672Y-73907440D02* +X157344029Y-73898490D01* +X157463839Y-73820684D01* +X157504292Y-73751829D01* +X157514794Y-73702425D01* +X157505843Y-73623069D01* +X157389135Y-73443354D01* +X157320280Y-73402899D01* +X157270875Y-73392399D01* +X157191519Y-73401349D01* +X157071710Y-73479155D01* +X157031255Y-73548010D01* +X156515859Y-74393725D02* +X156475405Y-74462581D01* +X156355595Y-74540386D01* +X156276239Y-74549336D01* +X156207383Y-74508883D01* +X156187932Y-74478931D01* +X156178982Y-74399573D01* +X156219436Y-74330719D01* +X156309293Y-74272364D01* +X156349747Y-74203508D01* +X156340797Y-74124152D01* +X156321345Y-74094200D01* +X156252490Y-74053746D01* +X156173134Y-74062697D01* +X156083276Y-74121051D01* +X156042823Y-74189906D01* +X155737093Y-74899461D02* +X155816450Y-74890511D01* +X155936260Y-74812706D01* +X155976714Y-74743850D01* +X155967764Y-74664494D01* +X155812153Y-74424874D01* +X155743297Y-74384420D01* +X155663941Y-74393371D01* +X155544131Y-74471176D01* +X155503676Y-74540031D01* +X155512627Y-74619388D01* +X155551530Y-74679293D01* +X155889958Y-74544684D01* +X155184701Y-74704593D02* +X155593180Y-75333595D01* +X155204152Y-74734545D02* +X155124795Y-74743496D01* +X155004985Y-74821301D01* +X154964531Y-74890156D01* +X154954030Y-74939560D01* +X154962981Y-75018916D01* +X155079689Y-75198632D01* +X155148544Y-75239085D01* +X155197948Y-75249586D01* +X155277305Y-75240636D01* +X155397115Y-75162831D01* +X155437568Y-75093975D01* +X154628850Y-75619162D02* +X154708207Y-75610213D01* +X154828017Y-75532407D01* +X154868471Y-75463551D01* +X154859521Y-75384195D01* +X154703910Y-75144575D01* +X154635054Y-75104121D01* +X154555698Y-75113072D01* +X154435888Y-75190877D01* +X154395434Y-75259733D01* +X154404384Y-75339089D01* +X154443287Y-75398994D01* +X154781715Y-75264385D01* +X154348777Y-75843629D02* +X154076458Y-75424294D01* +X154154263Y-75544104D02* +X154085407Y-75503650D01* +X154036004Y-75493149D01* +X153956647Y-75502100D01* +X153896743Y-75541002D01* +X153689821Y-76271560D02* +X153475856Y-75942083D01* +X153466905Y-75862726D01* +X153507360Y-75793871D01* +X153627170Y-75716065D01* +X153706526Y-75707114D01* +X153670370Y-76241607D02* +X153749727Y-76232657D01* +X153899489Y-76135400D01* +X153939943Y-76066544D01* +X153930993Y-75987188D01* +X153892089Y-75927283D01* +X153823235Y-75886830D01* +X153743878Y-75895780D01* +X153594115Y-75993036D01* +X153514759Y-76001987D01* +X153207834Y-75988385D02* +X152968214Y-76143996D01* +X152981818Y-75837071D02* +X153331943Y-76376216D01* +X153340892Y-76455572D01* +X153300439Y-76524428D01* +X153240534Y-76563331D01* +X152771795Y-76825149D02* +X152851152Y-76816199D01* +X152970962Y-76738393D01* +X153011415Y-76669537D01* +X153002465Y-76590181D01* +X152846854Y-76350561D01* +X152777999Y-76310107D01* +X152698642Y-76319058D01* +X152578832Y-76396863D01* +X152538378Y-76465719D01* +X152547329Y-76545075D01* +X152586231Y-76604980D01* +X152924660Y-76470371D01* +X152401864Y-77107969D02* +X152442317Y-77039114D01* +X152433368Y-76959757D01* +X152083243Y-76420612D01* +X151949829Y-76805343D02* +X152072387Y-77321935D01* +X151650304Y-76999857D02* +X152072387Y-77321935D01* +X152229548Y-77432794D01* +X152278952Y-77443295D01* +X152358309Y-77434346D01* +X161833763Y-79659531D02* +X161717797Y-80441548D01* +X161341359Y-79746355D01* +X160937818Y-80542814D02* +X161014363Y-80565583D01* +X161155050Y-80540776D01* +X161219192Y-80493200D01* +X161241960Y-80416655D01* +X161192346Y-80135282D01* +X161144771Y-80071140D01* +X161068226Y-80048372D01* +X160927539Y-80073179D01* +X160863397Y-80120754D01* +X160840629Y-80197299D01* +X160853033Y-80267642D01* +X161217153Y-80275969D01* +X160592303Y-80640003D02* +X160505479Y-80147599D01* +X160530286Y-80288286D02* +X160482710Y-80224144D01* +X160441337Y-80195174D01* +X160364792Y-80172406D01* +X160294449Y-80184810D01* +X160164040Y-80679252D02* +X160099898Y-80726828D01* +X159959212Y-80751634D01* +X159882667Y-80728865D01* +X159835091Y-80664724D01* +X159828890Y-80629553D01* +X159851659Y-80553007D01* +X159915800Y-80505432D01* +X160021315Y-80486827D01* +X160085457Y-80439251D01* +X160108225Y-80362707D01* +X160102023Y-80327535D01* +X160054448Y-80263393D01* +X159977903Y-80240625D01* +X159872388Y-80259231D01* +X159808247Y-80306805D01* +X159537152Y-80826055D02* +X159450328Y-80333651D01* +X159406916Y-80087449D02* +X159448289Y-80116419D01* +X159419319Y-80157792D01* +X159377945Y-80128822D01* +X159406916Y-80087449D01* +X159419319Y-80157792D01* +X159079919Y-80906677D02* +X159144060Y-80859102D01* +X159173031Y-80817728D01* +X159195799Y-80741184D01* +X159158589Y-80530153D01* +X159111014Y-80466011D01* +X159069640Y-80437042D01* +X158993095Y-80414274D01* +X158887580Y-80432879D01* +X158823438Y-80480454D01* +X158794468Y-80521827D01* +X158771700Y-80598372D01* +X158808910Y-80809403D01* +X158856485Y-80873544D01* +X158897858Y-80902514D01* +X158974404Y-80925283D01* +X159079919Y-80906677D01* +X158430348Y-80513501D02* +X158517173Y-81005905D01* +X158442752Y-80583844D02* +X158401378Y-80554874D01* +X158324833Y-80532106D01* +X158219318Y-80550711D01* +X158155176Y-80598286D01* +X158132408Y-80674832D01* +X158200627Y-81061720D01* +X156899273Y-81291184D02* +X157321334Y-81216763D01* +X157110304Y-81253974D02* +X156980068Y-80515368D01* +X157069017Y-80608480D01* +X157151763Y-80666419D01* +X157228308Y-80689187D01* +X156570325Y-81276656D02* +X156541354Y-81318029D01* +X156582728Y-81347000D01* +X156611698Y-81305625D01* +X156570325Y-81276656D01* +X156582728Y-81347000D01* +X155960087Y-80695218D02* +X155889745Y-80707621D01* +X155825602Y-80755196D01* +X155796633Y-80796569D01* +X155773865Y-80873115D01* +X155763499Y-81020003D01* +X155794508Y-81195861D01* +X155854487Y-81330347D01* +X155902062Y-81394488D01* +X155943435Y-81423458D01* +X156019981Y-81446227D01* +X156090324Y-81433824D01* +X156154466Y-81386248D01* +X156183435Y-81344875D01* +X156206204Y-81268330D01* +X156216569Y-81121441D01* +X156185560Y-80945583D01* +X156125582Y-80811098D01* +X156078006Y-80746956D01* +X156036633Y-80717986D01* +X155960087Y-80695218D01* +X164946378Y-81166931D02* +X164816142Y-80428326D01* +X164865756Y-80709699D02* +X164789210Y-80686931D01* +X164648524Y-80711738D01* +X164584381Y-80759313D01* +X164555412Y-80800686D01* +X164532644Y-80877231D01* +X164569854Y-81088262D01* +X164617429Y-81152403D01* +X164658802Y-81181373D01* +X164735348Y-81204142D01* +X164876034Y-81179335D01* +X164940176Y-81131759D01* +X164261635Y-80779957D02* +X164172601Y-81303369D01* +X163909918Y-80841974D02* +X164172601Y-81303369D01* +X164273952Y-81466824D01* +X164315326Y-81495794D01* +X164391871Y-81518563D01* +X163181590Y-81441845D02* +X163082277Y-81495623D01* +X162906419Y-81526631D01* +X162829873Y-81503862D01* +X162788500Y-81474893D01* +X162740924Y-81410752D01* +X162728521Y-81340408D01* +X162751290Y-81263862D01* +X162780259Y-81222489D01* +X162844402Y-81174914D01* +X162978887Y-81114936D01* +X163043028Y-81067360D01* +X163071998Y-81025987D01* +X163094766Y-80949441D01* +X163082363Y-80879098D01* +X163034788Y-80814956D01* +X162993414Y-80785987D01* +X162916869Y-80763219D01* +X162741010Y-80794227D01* +X162641697Y-80848004D01* +X162161610Y-81621695D02* +X162238156Y-81644464D01* +X162378843Y-81619657D01* +X162442985Y-81572081D01* +X162465753Y-81495537D01* +X162416139Y-81214163D01* +X162368564Y-81150021D01* +X162292018Y-81127253D01* +X162151332Y-81152060D01* +X162087190Y-81199635D01* +X162064422Y-81276181D01* +X162076825Y-81346523D01* +X162440946Y-81354850D01* +X161816096Y-81718885D02* +X161685860Y-80980279D01* +X161735473Y-81261652D02* +X161658928Y-81238884D01* +X161518241Y-81263691D01* +X161454099Y-81311266D01* +X161425129Y-81352639D01* +X161402361Y-81429185D01* +X161439572Y-81640215D01* +X161487147Y-81704356D01* +X161528520Y-81733326D01* +X161605065Y-81756095D01* +X161745752Y-81731288D01* +X161809894Y-81683712D01* +X160831288Y-81892533D02* +X160763069Y-81505644D01* +X160785837Y-81429099D01* +X160849979Y-81381524D01* +X160990666Y-81356717D01* +X161067211Y-81379485D01* +X160825086Y-81857360D02* +X160901632Y-81880129D01* +X161077490Y-81849121D01* +X161141632Y-81801545D01* +X161164400Y-81725000D01* +X161151997Y-81654656D01* +X161104422Y-81590515D01* +X161027876Y-81567746D01* +X160852018Y-81598755D01* +X160775472Y-81575987D01* +X160508541Y-81913176D02* +X160444399Y-81960752D01* +X160303713Y-81985559D01* +X160227168Y-81962789D01* +X160179592Y-81898648D01* +X160173391Y-81863477D01* +X160196160Y-81786931D01* +X160260301Y-81739357D01* +X160365816Y-81720751D01* +X160429958Y-81673176D01* +X160452726Y-81596631D01* +X160446524Y-81561460D01* +X160398949Y-81497317D01* +X160322404Y-81474549D01* +X160216889Y-81493155D01* +X160152747Y-81540729D01* +X159900343Y-81548970D02* +X159618970Y-81598584D01* +X159751417Y-81321373D02* +X159863048Y-81954464D01* +X159840278Y-82031009D01* +X159776137Y-82078584D01* +X159705794Y-82090988D01* +X159459593Y-82134400D02* +X159372769Y-81641996D01* +X159329357Y-81395794D02* +X159370730Y-81424764D01* +X159341760Y-81466137D01* +X159300386Y-81437167D01* +X159329357Y-81395794D01* +X159341760Y-81466137D01* +X158791330Y-82252232D02* +X158723111Y-81865344D01* +X158745879Y-81788798D01* +X158810021Y-81741223D01* +X158950708Y-81716417D01* +X159027253Y-81739184D01* +X158785128Y-82217060D02* +X158861674Y-82239829D01* +X159037532Y-82208820D01* +X159101674Y-82161245D01* +X159124442Y-82084700D01* +X159112038Y-82014356D01* +X159064463Y-81950215D01* +X158987918Y-81927446D01* +X158812060Y-81958455D01* +X158735514Y-81935687D01* +X158352789Y-81821846D02* +X158439613Y-82314250D01* +X158365193Y-81892189D02* +X158323819Y-81863219D01* +X158247274Y-81840451D01* +X158141759Y-81859056D01* +X158077617Y-81906631D01* +X158054849Y-81983176D01* +X158123068Y-82370065D01* +X157237573Y-82489936D02* +X157138260Y-82543713D01* +X156962402Y-82574722D01* +X156885856Y-82551953D01* +X156844483Y-82522983D01* +X156796907Y-82458842D01* +X156784504Y-82388498D01* +X156807273Y-82311953D01* +X156836242Y-82270580D01* +X156900385Y-82223005D01* +X157034870Y-82163026D01* +X157099011Y-82115451D01* +X157127981Y-82074077D01* +X157150749Y-81997532D01* +X157138346Y-81927189D01* +X157090771Y-81863047D01* +X157049397Y-81834077D01* +X156972852Y-81811309D01* +X156796993Y-81842318D01* +X156697680Y-81896094D01* +X156182422Y-82675987D02* +X156258967Y-82698756D01* +X156399654Y-82673949D01* +X156463795Y-82626374D01* +X156492766Y-82585000D01* +X156515534Y-82508456D01* +X156478324Y-82297425D01* +X156430749Y-82233283D01* +X156389375Y-82204314D01* +X156312830Y-82181546D01* +X156172143Y-82206352D01* +X156108001Y-82253927D01* +X155872079Y-82766975D02* +X155741843Y-82028369D01* +X155555533Y-82822791D02* +X155487314Y-82435902D01* +X155510082Y-82359357D01* +X155574224Y-82311782D01* +X155679740Y-82293176D01* +X155756284Y-82315945D01* +X155797658Y-82344914D01* +X154800447Y-82448219D02* +X154887271Y-82940623D01* +X155116993Y-82392404D02* +X155185212Y-82779293D01* +X155162443Y-82855837D01* +X155098302Y-82903413D01* +X154992786Y-82922018D01* +X154916241Y-82899249D01* +X154874868Y-82870280D01* +X154535555Y-83002640D02* +X154448731Y-82510237D01* +X154461134Y-82580579D02* +X154419760Y-82551610D01* +X154343216Y-82528842D01* +X154237700Y-82547447D01* +X154173558Y-82595022D01* +X154150790Y-82671567D01* +X154219009Y-83058456D01* +X154150790Y-82671567D02* +X154103215Y-82607425D01* +X154026670Y-82584657D01* +X153921155Y-82603262D01* +X153857013Y-82650837D01* +X153834244Y-82727383D01* +X153902463Y-83114272D01* +X153550747Y-83176289D02* +X153420511Y-82437683D01* +X153470125Y-82719056D02* +X153393579Y-82696288D01* +X153252893Y-82721095D01* +X153188750Y-82768670D01* +X153159781Y-82810043D01* +X153137013Y-82886589D01* +X153174223Y-83097619D01* +X153221798Y-83161760D01* +X153263171Y-83190730D01* +X153339717Y-83213499D01* +X153480403Y-83188692D01* +X153544545Y-83141116D01* +X160178667Y-82613236D02* +X160137294Y-82584267D01* +X160060748Y-82561499D01* +X159884890Y-82592507D01* +X159820748Y-82640082D01* +X159791778Y-82681455D01* +X159769009Y-82758001D01* +X159781413Y-82828344D01* +X159835190Y-82927657D01* +X160331671Y-83275298D01* +X159874438Y-83355920D01* +X159286970Y-82697937D02* +X159216627Y-82710340D01* +X159152485Y-82757915D01* +X159123515Y-82799288D01* +X159100747Y-82875834D01* +X159090382Y-83022722D01* +X159121390Y-83198580D01* +X159181370Y-83333066D01* +X159228944Y-83397207D01* +X159270317Y-83426177D01* +X159346863Y-83448946D01* +X159417206Y-83436543D01* +X159481348Y-83388967D01* +X159510318Y-83347594D01* +X159533087Y-83271049D01* +X159543451Y-83124160D01* +X159512443Y-82948301D01* +X159452464Y-82813816D01* +X159404888Y-82749674D01* +X159363515Y-82720705D01* +X159286970Y-82697937D01* +X158467570Y-83603989D02* +X158889631Y-83529568D01* +X158678600Y-83566779D02* +X158548364Y-82828173D01* +X158637313Y-82921285D01* +X158720059Y-82979224D01* +X158796605Y-83001992D01* +X157704243Y-82977014D02* +X157844930Y-82952207D01* +X157921475Y-82974975D01* +X157962848Y-83003945D01* +X158051797Y-83097057D01* +X158111776Y-83231542D01* +X158161389Y-83512916D01* +X158138621Y-83589461D01* +X158109651Y-83630834D01* +X158045510Y-83678410D01* +X157904823Y-83703217D01* +X157828277Y-83680448D01* +X157786904Y-83651478D01* +X157739328Y-83587337D01* +X157708320Y-83411478D01* +X157731089Y-83334933D01* +X157760058Y-83293559D01* +X157824201Y-83245984D01* +X157964887Y-83221177D01* +X158041432Y-83243945D01* +X158082806Y-83272916D01* +X158130381Y-83337057D01* +D14* X163892857Y-67353571D02* X164607143Y-67353571D01* X164607143Y-65853571D01* @@ -504,7 +2193,7 @@ X153464286Y-66425000D01* X153321428Y-66353571D01* X153035714Y-66353571D01* X152892857Y-66425000D01* -D13* +D15* G36* X146626580Y-77162660D02* X146626580Y-77188060D01* diff --git a/gerber/lipoguard-Edge.Cuts.gko b/gerber/lipoguard-Edge.Cuts.gko index 6b151dc..32d25e4 100644 --- a/gerber/lipoguard-Edge.Cuts.gko +++ b/gerber/lipoguard-Edge.Cuts.gko @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Profile,NP* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 00:02:17 2016* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* %MOMM*% %LPD*% G01* diff --git a/gerber/lipoguard-F.Cu.gtl b/gerber/lipoguard-F.Cu.gtl index 402d34c..9ffabb4 100644 --- a/gerber/lipoguard-F.Cu.gtl +++ b/gerber/lipoguard-F.Cu.gtl @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Copper,L1,Top,Signal* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 00:02:17 2016* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* %MOMM*% %LPD*% G01* diff --git a/gerber/lipoguard-F.Mask.gts b/gerber/lipoguard-F.Mask.gts index b56d984..c843620 100644 --- a/gerber/lipoguard-F.Mask.gts +++ b/gerber/lipoguard-F.Mask.gts @@ -1,7 +1,7 @@ G04 #@! TF.FileFunction,Soldermask,Top* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 00:02:17 2016* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* %MOMM*% %LPD*% G01* diff --git a/gerber/lipoguard-F.SilkS.gto b/gerber/lipoguard-F.SilkS.gto index 7ef0cb9..abd6864 100644 --- a/gerber/lipoguard-F.SilkS.gto +++ b/gerber/lipoguard-F.SilkS.gto @@ -1,21 +1,233 @@ G04 #@! TF.FileFunction,Legend,Top* %FSLAX46Y46*% G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 00:02:17 2016* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* %MOMM*% %LPD*% G01* G04 APERTURE LIST* %ADD10C,0.100000*% -%ADD11C,0.300000*% -%ADD12C,0.381000*% -%ADD13C,0.304800*% -%ADD14C,0.127000*% -%ADD15C,0.150000*% -%ADD16C,0.114300*% +%ADD11C,0.150000*% +%ADD12C,0.300000*% +%ADD13C,0.381000*% +%ADD14C,0.304800*% +%ADD15C,0.127000*% G04 APERTURE END LIST* D10* D11* +X161695001Y-66379286D02* +X161623573Y-66343571D01* +X161587858Y-66307857D01* +X161552144Y-66236429D01* +X161552144Y-66022143D01* +X161587858Y-65950714D01* +X161623573Y-65915000D01* +X161695001Y-65879286D01* +X161802144Y-65879286D01* +X161873573Y-65915000D01* +X161909287Y-65950714D01* +X161945001Y-66022143D01* +X161945001Y-66236429D01* +X161909287Y-66307857D01* +X161873573Y-66343571D01* +X161802144Y-66379286D01* +X161695001Y-66379286D01* +X162587858Y-65879286D02* +X162587858Y-66379286D01* +X162266429Y-65879286D02* +X162266429Y-66272143D01* +X162302144Y-66343571D01* +X162373572Y-66379286D01* +X162480715Y-66379286D01* +X162552144Y-66343571D01* +X162587858Y-66307857D01* +X162837858Y-65879286D02* +X163123572Y-65879286D01* +X162945000Y-65629286D02* +X162945000Y-66272143D01* +X162980715Y-66343571D01* +X163052143Y-66379286D01* +X163123572Y-66379286D01* +X163373571Y-65879286D02* +X163373571Y-66629286D01* +X163373571Y-65915000D02* +X163445000Y-65879286D01* +X163587857Y-65879286D01* +X163659286Y-65915000D01* +X163695000Y-65950714D01* +X163730714Y-66022143D01* +X163730714Y-66236429D01* +X163695000Y-66307857D01* +X163659286Y-66343571D01* +X163587857Y-66379286D01* +X163445000Y-66379286D01* +X163373571Y-66343571D01* +X164373571Y-65879286D02* +X164373571Y-66379286D01* +X164052142Y-65879286D02* +X164052142Y-66272143D01* +X164087857Y-66343571D01* +X164159285Y-66379286D01* +X164266428Y-66379286D01* +X164337857Y-66343571D01* +X164373571Y-66307857D01* +X164623571Y-65879286D02* +X164909285Y-65879286D01* +X164730713Y-65629286D02* +X164730713Y-66272143D01* +X164766428Y-66343571D01* +X164837856Y-66379286D01* +X164909285Y-66379286D01* +X128942858Y-66379286D02* +X128942858Y-65879286D01* +X128942858Y-65629286D02* +X128907144Y-65665000D01* +X128942858Y-65700714D01* +X128978573Y-65665000D01* +X128942858Y-65629286D01* +X128942858Y-65700714D01* +X129300001Y-65879286D02* +X129300001Y-66379286D01* +X129300001Y-65950714D02* +X129335716Y-65915000D01* +X129407144Y-65879286D01* +X129514287Y-65879286D01* +X129585716Y-65915000D01* +X129621430Y-65986429D01* +X129621430Y-66379286D01* +X129978572Y-65879286D02* +X129978572Y-66629286D01* +X129978572Y-65915000D02* +X130050001Y-65879286D01* +X130192858Y-65879286D01* +X130264287Y-65915000D01* +X130300001Y-65950714D01* +X130335715Y-66022143D01* +X130335715Y-66236429D01* +X130300001Y-66307857D01* +X130264287Y-66343571D01* +X130192858Y-66379286D01* +X130050001Y-66379286D01* +X129978572Y-66343571D01* +X130978572Y-65879286D02* +X130978572Y-66379286D01* +X130657143Y-65879286D02* +X130657143Y-66272143D01* +X130692858Y-66343571D01* +X130764286Y-66379286D01* +X130871429Y-66379286D01* +X130942858Y-66343571D01* +X130978572Y-66307857D01* +X131228572Y-65879286D02* +X131514286Y-65879286D01* +X131335714Y-65629286D02* +X131335714Y-66272143D01* +X131371429Y-66343571D01* +X131442857Y-66379286D01* +X131514286Y-66379286D01* +X157861429Y-82367381D02* +X157385238Y-82367381D01* +X157385238Y-81367381D01* +X158194762Y-82367381D02* +X158194762Y-81700714D01* +X158194762Y-81367381D02* +X158147143Y-81415000D01* +X158194762Y-81462619D01* +X158242381Y-81415000D01* +X158194762Y-81367381D01* +X158194762Y-81462619D01* +X158670952Y-82367381D02* +X158670952Y-81367381D01* +X159051905Y-81367381D01* +X159147143Y-81415000D01* +X159194762Y-81462619D01* +X159242381Y-81557857D01* +X159242381Y-81700714D01* +X159194762Y-81795952D01* +X159147143Y-81843571D01* +X159051905Y-81891190D01* +X158670952Y-81891190D01* +X159813809Y-82367381D02* +X159718571Y-82319762D01* +X159670952Y-82272143D01* +X159623333Y-82176905D01* +X159623333Y-81891190D01* +X159670952Y-81795952D01* +X159718571Y-81748333D01* +X159813809Y-81700714D01* +X159956667Y-81700714D01* +X160051905Y-81748333D01* +X160099524Y-81795952D01* +X160147143Y-81891190D01* +X160147143Y-82176905D01* +X160099524Y-82272143D01* +X160051905Y-82319762D01* +X159956667Y-82367381D01* +X159813809Y-82367381D01* +X161861429Y-81415000D02* +X161766191Y-81367381D01* +X161623334Y-81367381D01* +X161480476Y-81415000D01* +X161385238Y-81510238D01* +X161337619Y-81605476D01* +X161290000Y-81795952D01* +X161290000Y-81938810D01* +X161337619Y-82129286D01* +X161385238Y-82224524D01* +X161480476Y-82319762D01* +X161623334Y-82367381D01* +X161718572Y-82367381D01* +X161861429Y-82319762D01* +X161909048Y-82272143D01* +X161909048Y-81938810D01* +X161718572Y-81938810D01* +X162766191Y-81700714D02* +X162766191Y-82367381D01* +X162337619Y-81700714D02* +X162337619Y-82224524D01* +X162385238Y-82319762D01* +X162480476Y-82367381D01* +X162623334Y-82367381D01* +X162718572Y-82319762D01* +X162766191Y-82272143D01* +X163670953Y-82367381D02* +X163670953Y-81843571D01* +X163623334Y-81748333D01* +X163528096Y-81700714D01* +X163337619Y-81700714D01* +X163242381Y-81748333D01* +X163670953Y-82319762D02* +X163575715Y-82367381D01* +X163337619Y-82367381D01* +X163242381Y-82319762D01* +X163194762Y-82224524D01* +X163194762Y-82129286D01* +X163242381Y-82034048D01* +X163337619Y-81986429D01* +X163575715Y-81986429D01* +X163670953Y-81938810D01* +X164147143Y-82367381D02* +X164147143Y-81700714D01* +X164147143Y-81891190D02* +X164194762Y-81795952D01* +X164242381Y-81748333D01* +X164337619Y-81700714D01* +X164432858Y-81700714D01* +X165194763Y-82367381D02* +X165194763Y-81367381D01* +X165194763Y-82319762D02* +X165099525Y-82367381D01* +X164909048Y-82367381D01* +X164813810Y-82319762D01* +X164766191Y-82272143D01* +X164718572Y-82176905D01* +X164718572Y-81891190D01* +X164766191Y-81795952D01* +X164813810Y-81748333D01* +X164909048Y-81700714D01* +X165099525Y-81700714D01* +X165194763Y-81748333D01* +D12* X161988572Y-67417143D02* X163131429Y-67417143D01* X162560000Y-67988571D02* @@ -24,7 +236,7 @@ X130238572Y-67417143D02* X131381429Y-67417143D01* X130810000Y-67988571D02* X130810000Y-66845714D01* -D12* +D13* X137269220Y-68455540D02* X137269220Y-75054460D01* X137269220Y-75054460D02* @@ -33,7 +245,7 @@ X144670780Y-75054460D02* X144670780Y-68455540D01* X144670780Y-68455540D02* X137269220Y-68455540D01* -D13* +D14* X165100000Y-69215000D02* X165100000Y-79375000D01* X166370000Y-69215000D02* @@ -44,7 +256,7 @@ X158750000Y-79375000D02* X166370000Y-79375000D01* X166370000Y-79375000D02* X166370000Y-69215000D01* -D14* +D15* X155067000Y-65405000D02* X157353000Y-65405000D01* X157353000Y-65405000D02* @@ -61,7 +273,7 @@ X157353000Y-68580000D02* X155067000Y-68580000D01* X155067000Y-68580000D02* X155067000Y-67310000D01* -D13* +D14* X128270000Y-79375000D02* X128270000Y-69215000D01* X127000000Y-79375000D02* @@ -72,7 +284,7 @@ X134620000Y-69215000D02* X127000000Y-69215000D01* X127000000Y-69215000D02* X127000000Y-79375000D01* -D14* +D15* X151892000Y-65405000D02* X154178000Y-65405000D01* X154178000Y-65405000D02* @@ -105,7 +317,7 @@ X157353000Y-71120000D02* X155067000Y-71120000D01* X155067000Y-71120000D02* X155067000Y-69850000D01* -D12* +D13* X154940000Y-81915000D02* X155575000Y-81915000D01* X155575000Y-81915000D02* @@ -120,7 +332,7 @@ X137160000Y-82550000D02* X154940000Y-82550000D01* X154940000Y-82550000D02* X154940000Y-80010000D01* -D14* +D15* X156273500Y-72072500D02* X156933900Y-72072500D01* X156273500Y-73977500D02* @@ -151,7 +363,7 @@ X138303000Y-78105000D02* X136017000Y-78105000D01* X136017000Y-78105000D02* X136017000Y-76835000D01* -D15* +D11* X140398571Y-66264286D02* X140398571Y-66871429D01* X140434286Y-66942857D01* @@ -273,30 +485,30 @@ X151505000Y-65629286D01* X151433571Y-65736429D01* X151362143Y-65807857D01* X151290714Y-65843571D01* -X152910000Y-69554286D02* -X152660000Y-69197143D01* -X152481428Y-69554286D02* -X152481428Y-68804286D01* -X152767143Y-68804286D01* -X152838571Y-68840000D01* -X152874286Y-68875714D01* -X152910000Y-68947143D01* -X152910000Y-69054286D01* -X152874286Y-69125714D01* -X152838571Y-69161429D01* -X152767143Y-69197143D01* -X152481428Y-69197143D01* -X153195714Y-68875714D02* -X153231428Y-68840000D01* -X153302857Y-68804286D01* -X153481428Y-68804286D01* -X153552857Y-68840000D01* -X153588571Y-68875714D01* -X153624286Y-68947143D01* -X153624286Y-69018571D01* -X153588571Y-69125714D01* -X153160000Y-69554286D01* -X153624286Y-69554286D01* +X151005000Y-68284286D02* +X150755000Y-67927143D01* +X150576428Y-68284286D02* +X150576428Y-67534286D01* +X150862143Y-67534286D01* +X150933571Y-67570000D01* +X150969286Y-67605714D01* +X151005000Y-67677143D01* +X151005000Y-67784286D01* +X150969286Y-67855714D01* +X150933571Y-67891429D01* +X150862143Y-67927143D01* +X150576428Y-67927143D01* +X151290714Y-67605714D02* +X151326428Y-67570000D01* +X151397857Y-67534286D01* +X151576428Y-67534286D01* +X151647857Y-67570000D01* +X151683571Y-67605714D01* +X151719286Y-67677143D01* +X151719286Y-67748571D01* +X151683571Y-67855714D01* +X151255000Y-68284286D01* +X151719286Y-68284286D01* X156720000Y-75904286D02* X156470000Y-75547143D01* X156291428Y-75904286D02* @@ -365,36 +577,36 @@ X136586429Y-80869286D01* X136515000Y-80976429D01* X136443572Y-81047857D01* X136372143Y-81083571D01* -X153598571Y-72165714D02* -X153527143Y-72130000D01* -X153455714Y-72058571D01* -X153348571Y-71951429D01* -X153277143Y-71915714D01* -X153205714Y-71915714D01* -X153241429Y-72094286D02* -X153170000Y-72058571D01* -X153098571Y-71987143D01* -X153062857Y-71844286D01* -X153062857Y-71594286D01* -X153098571Y-71451429D01* -X153170000Y-71380000D01* -X153241429Y-71344286D01* -X153384286Y-71344286D01* -X153455714Y-71380000D01* -X153527143Y-71451429D01* -X153562857Y-71594286D01* -X153562857Y-71844286D01* -X153527143Y-71987143D01* -X153455714Y-72058571D01* -X153384286Y-72094286D01* -X153241429Y-72094286D01* -X154277143Y-72094286D02* -X153848571Y-72094286D01* -X154062857Y-72094286D02* -X154062857Y-71344286D01* -X153991428Y-71451429D01* -X153920000Y-71522857D01* -X153848571Y-71558571D01* +X152963571Y-73435714D02* +X152892143Y-73400000D01* +X152820714Y-73328571D01* +X152713571Y-73221429D01* +X152642143Y-73185714D01* +X152570714Y-73185714D01* +X152606429Y-73364286D02* +X152535000Y-73328571D01* +X152463571Y-73257143D01* +X152427857Y-73114286D01* +X152427857Y-72864286D01* +X152463571Y-72721429D01* +X152535000Y-72650000D01* +X152606429Y-72614286D01* +X152749286Y-72614286D01* +X152820714Y-72650000D01* +X152892143Y-72721429D01* +X152927857Y-72864286D01* +X152927857Y-73114286D01* +X152892143Y-73257143D01* +X152820714Y-73328571D01* +X152749286Y-73364286D01* +X152606429Y-73364286D01* +X153642143Y-73364286D02* +X153213571Y-73364286D01* +X153427857Y-73364286D02* +X153427857Y-72614286D01* +X153356428Y-72721429D01* +X153285000Y-72792857D01* +X153213571Y-72828571D01* X156720000Y-77737857D02* X156684286Y-77773571D01* X156577143Y-77809286D01* @@ -418,34 +630,33 @@ X157220000Y-77059286D01* X157148571Y-77166429D01* X157077143Y-77237857D01* X157005714Y-77273571D01* -D16* -X137083800Y-77699810D02* -X136931400Y-77457905D01* -X136822543Y-77699810D02* -X136822543Y-77191810D01* -X136996715Y-77191810D01* -X137040257Y-77216000D01* -X137062029Y-77240190D01* -X137083800Y-77288571D01* -X137083800Y-77361143D01* -X137062029Y-77409524D01* -X137040257Y-77433714D01* -X136996715Y-77457905D01* -X136822543Y-77457905D01* -X137497457Y-77191810D02* -X137279743Y-77191810D01* -X137257972Y-77433714D01* -X137279743Y-77409524D01* -X137323286Y-77385333D01* -X137432143Y-77385333D01* -X137475686Y-77409524D01* -X137497457Y-77433714D01* -X137519229Y-77482095D01* -X137519229Y-77603048D01* -X137497457Y-77651429D01* -X137475686Y-77675619D01* -X137432143Y-77699810D01* -X137323286Y-77699810D01* -X137279743Y-77675619D01* -X137257972Y-77651429D01* +X139575000Y-77809286D02* +X139325000Y-77452143D01* +X139146428Y-77809286D02* +X139146428Y-77059286D01* +X139432143Y-77059286D01* +X139503571Y-77095000D01* +X139539286Y-77130714D01* +X139575000Y-77202143D01* +X139575000Y-77309286D01* +X139539286Y-77380714D01* +X139503571Y-77416429D01* +X139432143Y-77452143D01* +X139146428Y-77452143D01* +X140253571Y-77059286D02* +X139896428Y-77059286D01* +X139860714Y-77416429D01* +X139896428Y-77380714D01* +X139967857Y-77345000D01* +X140146428Y-77345000D01* +X140217857Y-77380714D01* +X140253571Y-77416429D01* +X140289286Y-77487857D01* +X140289286Y-77666429D01* +X140253571Y-77737857D01* +X140217857Y-77773571D01* +X140146428Y-77809286D01* +X139967857Y-77809286D01* +X139896428Y-77773571D01* +X139860714Y-77737857D01* M02* diff --git a/gerber/lipoguard.drl b/gerber/lipoguard.drl index 08c8871..c284e77 100644 --- a/gerber/lipoguard.drl +++ b/gerber/lipoguard.drl @@ -1,6 +1,6 @@ M48 -;DRILL file {KiCad 4.0.4-stable} date Thu Oct 6 00:02:24 2016 -;FORMAT={-:-/ absolute / metric / decimal} +;DRILL file {KiCad 4.0.4-stable} date Thu Oct 6 13:52:30 2016 +;FORMAT={3:3/ absolute / metric / keep zeros} FMAT,2 METRIC,TZ T1C0.200 @@ -11,77 +11,77 @@ G90 G05 M71 T1 -X136.525Y-67.945 -X136.525Y-68.58 -X136.525Y-69.215 -X136.525Y-69.85 -X136.525Y-70.485 -X136.525Y-71.12 -X136.525Y-71.755 -X136.525Y-72.39 -X136.525Y-73.025 -X136.525Y-73.66 -X136.525Y-74.295 -X136.525Y-74.93 -X136.525Y-75.565 -X137.16Y-67.945 -X137.16Y-75.565 -X137.795Y-67.945 -X137.795Y-75.565 -X138.43Y-67.945 -X138.43Y-75.565 -X139.065Y-67.945 -X139.065Y-75.565 -X139.7Y-67.945 -X139.7Y-75.565 -X140.335Y-67.945 -X140.335Y-75.565 -X140.97Y-67.945 -X140.97Y-75.565 -X141.605Y-67.945 -X141.605Y-75.565 -X142.24Y-67.945 -X142.24Y-75.565 -X142.875Y-67.945 -X142.875Y-75.565 -X146.05Y-67.945 -X146.05Y-75.565 -X146.685Y-67.945 -X146.685Y-75.565 -X147.32Y-67.945 -X147.32Y-75.565 -X147.955Y-67.945 -X147.955Y-75.565 -X148.59Y-67.945 -X148.59Y-75.565 -X149.225Y-67.945 -X149.225Y-75.565 -X149.86Y-67.945 -X149.86Y-68.58 -X149.86Y-69.215 -X149.86Y-74.295 -X149.86Y-74.93 -X149.86Y-75.565 -X150.495Y-67.945 -X150.495Y-68.58 -X150.495Y-69.215 -X150.495Y-74.295 -X150.495Y-74.93 -X150.495Y-75.565 -X151.13Y-67.945 -X151.13Y-68.58 -X151.13Y-69.215 -X151.13Y-74.295 -X151.13Y-74.93 -X151.13Y-75.565 +X136525Y-067945 +X136525Y-068580 +X136525Y-069215 +X136525Y-069850 +X136525Y-070485 +X136525Y-071120 +X136525Y-071755 +X136525Y-072390 +X136525Y-073025 +X136525Y-073660 +X136525Y-074295 +X136525Y-074930 +X136525Y-075565 +X137160Y-067945 +X137160Y-075565 +X137795Y-067945 +X137795Y-075565 +X138430Y-067945 +X138430Y-075565 +X139065Y-067945 +X139065Y-075565 +X139700Y-067945 +X139700Y-075565 +X140335Y-067945 +X140335Y-075565 +X140970Y-067945 +X140970Y-075565 +X141605Y-067945 +X141605Y-075565 +X142240Y-067945 +X142240Y-075565 +X142875Y-067945 +X142875Y-075565 +X146050Y-067945 +X146050Y-075565 +X146685Y-067945 +X146685Y-075565 +X147320Y-067945 +X147320Y-075565 +X147955Y-067945 +X147955Y-075565 +X148590Y-067945 +X148590Y-075565 +X149225Y-067945 +X149225Y-075565 +X149860Y-067945 +X149860Y-068580 +X149860Y-069215 +X149860Y-074295 +X149860Y-074930 +X149860Y-075565 +X150495Y-067945 +X150495Y-068580 +X150495Y-069215 +X150495Y-074295 +X150495Y-074930 +X150495Y-075565 +X151130Y-067945 +X151130Y-068580 +X151130Y-069215 +X151130Y-074295 +X151130Y-074930 +X151130Y-075565 T2 -X139.7Y-80.01 -X147.32Y-82.55 -X152.4Y-80.01 +X139700Y-080010 +X147320Y-082550 +X152400Y-080010 T3 -X130.81Y-71.755 -X130.81Y-76.835 -X162.56Y-71.755 -X162.56Y-76.835 +X130810Y-071755 +X130810Y-076835 +X162560Y-071755 +X162560Y-076835 T0 M30 diff --git a/panel/combined.GKO b/panel/combined.GKO new file mode 100755 index 0000000..1daf622 --- /dev/null +++ b/panel/combined.GKO @@ -0,0 +1,277 @@ +%MOIN*% +%OFA0B0*% +%FSLAX44Y44*% +%IPPOS*% +%LPD*% +%ADD10C,0*% +D10* +X00012085Y00010844D02* +X00012085Y00010844D01* +X00009568Y00010844D01* +X00009568Y00010844D01* +X00009538Y00010843D01* +X00009508Y00010839D01* +X00009478Y00010834D01* +X00009449Y00010826D01* +X00009421Y00010816D01* +X00009394Y00010803D01* +X00009367Y00010789D01* +X00009342Y00010773D01* +X00009318Y00010755D01* +X00009296Y00010735D01* +X00009275Y00010713D01* +X00009255Y00010690D01* +X00009238Y00010665D01* +X00009223Y00010639D01* +X00009209Y00010612D01* +X00009198Y00010585D01* +X00009189Y00010556D01* +X00009182Y00010527D01* +X00009177Y00010497D01* +X00009175Y00010467D01* +X00009119Y00009162D01* +X00009119Y00009130D01* +X00009121Y00009099D01* +X00009126Y00009067D01* +X00009134Y00009036D01* +X00009144Y00009006D01* +X00009156Y00008977D01* +X00009171Y00008949D01* +X00009188Y00008922D01* +X00009207Y00008897D01* +X00009228Y00008873D01* +X00009251Y00008851D01* +X00009276Y00008831D01* +X00009302Y00008813D01* +X00009329Y00008797D01* +X00009358Y00008783D01* +X00009387Y00008772D01* +X00009418Y00008763D01* +X00009449Y00008757D01* +X00009481Y00008753D01* +X00009512Y00008751D01* +X00009512Y00008751D01* +X00012140Y00008751D01* +X00012172Y00008753D01* +X00012203Y00008757D01* +X00012235Y00008763D01* +X00012265Y00008772D01* +X00012295Y00008783D01* +X00012323Y00008797D01* +X00012351Y00008813D01* +X00012377Y00008831D01* +X00012402Y00008851D01* +X00012424Y00008873D01* +X00012445Y00008897D01* +X00012465Y00008922D01* +X00012481Y00008949D01* +X00012496Y00008977D01* +X00012509Y00009006D01* +X00012519Y00009036D01* +X00012526Y00009067D01* +X00012531Y00009099D01* +X00012534Y00009130D01* +X00012534Y00009162D01* +X00012478Y00010467D01* +X00012476Y00010497D01* +X00012471Y00010527D01* +X00012464Y00010556D01* +X00012455Y00010585D01* +X00012443Y00010612D01* +X00012430Y00010639D01* +X00012414Y00010665D01* +X00012397Y00010690D01* +X00012378Y00010713D01* +X00012357Y00010735D01* +X00012335Y00010755D01* +X00012311Y00010773D01* +X00012285Y00010789D01* +X00012259Y00010803D01* +X00012231Y00010816D01* +X00012203Y00010826D01* +X00012174Y00010834D01* +X00012145Y00010839D01* +X00012115Y00010843D01* +X00012085Y00010844D01* +X00015418Y00008751D02* +X00015418Y00008751D01* +X00015500Y00008751D01* +X00015500Y00001001D01* +X00000000Y00001001D01* +X00000000Y00008751D01* +X00000329Y00008751D01* +X00000361Y00008753D01* +X00000392Y00008757D01* +X00000424Y00008763D01* +X00000454Y00008772D01* +X00000484Y00008783D01* +X00000512Y00008797D01* +X00000540Y00008813D01* +X00000566Y00008831D01* +X00000591Y00008851D01* +X00000613Y00008873D01* +X00000634Y00008897D01* +X00000654Y00008922D01* +X00000670Y00008949D01* +X00000685Y00008977D01* +X00000698Y00009006D01* +X00000708Y00009036D01* +X00000715Y00009067D01* +X00000720Y00009099D01* +X00000723Y00009130D01* +X00000723Y00009162D01* +X00000667Y00010467D01* +X00000664Y00010497D01* +X00000660Y00010527D01* +X00000653Y00010556D01* +X00000644Y00010585D01* +X00000632Y00010612D01* +X00000619Y00010639D01* +X00000603Y00010665D01* +X00000586Y00010690D01* +X00000567Y00010713D01* +X00000546Y00010735D01* +X00000523Y00010755D01* +X00000500Y00010773D01* +X00000474Y00010789D01* +X00000448Y00010803D01* +X00000420Y00010816D01* +X00000392Y00010826D01* +X00000363Y00010834D01* +X00000334Y00010839D01* +X00000304Y00010843D01* +X00000274Y00010844D01* +X00000000Y00010844D01* +X00000000Y00018594D01* +X00015500Y00018594D01* +X00015500Y00010844D01* +X00015473Y00010844D01* +X00015473Y00010844D01* +X00015443Y00010843D01* +X00015414Y00010839D01* +X00015384Y00010834D01* +X00015355Y00010826D01* +X00015327Y00010816D01* +X00015299Y00010803D01* +X00015273Y00010789D01* +X00015247Y00010773D01* +X00015224Y00010755D01* +X00015201Y00010735D01* +X00015180Y00010713D01* +X00015161Y00010690D01* +X00015144Y00010665D01* +X00015128Y00010639D01* +X00015115Y00010612D01* +X00015103Y00010585D01* +X00015094Y00010556D01* +X00015087Y00010527D01* +X00015083Y00010497D01* +X00015080Y00010467D01* +X00015024Y00009162D01* +X00015024Y00009130D01* +X00015027Y00009099D01* +X00015032Y00009067D01* +X00015039Y00009036D01* +X00015049Y00009006D01* +X00015062Y00008977D01* +X00015077Y00008949D01* +X00015094Y00008922D01* +X00015113Y00008897D01* +X00015134Y00008873D01* +X00015156Y00008851D01* +X00015181Y00008831D01* +X00015207Y00008813D01* +X00015235Y00008797D01* +X00015263Y00008783D01* +X00015293Y00008772D01* +X00015323Y00008763D01* +X00015355Y00008757D01* +X00015386Y00008753D01* +X00015418Y00008751D01* +X00006235Y00008751D02* +X00006235Y00008751D01* +X00003607Y00008751D01* +X00003575Y00008753D01* +X00003544Y00008757D01* +X00003512Y00008763D01* +X00003482Y00008772D01* +X00003452Y00008783D01* +X00003424Y00008797D01* +X00003396Y00008813D01* +X00003370Y00008831D01* +X00003345Y00008851D01* +X00003323Y00008873D01* +X00003302Y00008897D01* +X00003282Y00008922D01* +X00003266Y00008949D01* +X00003251Y00008977D01* +X00003238Y00009006D01* +X00003228Y00009036D01* +X00003221Y00009067D01* +X00003216Y00009099D01* +X00003213Y00009130D01* +X00003213Y00009162D01* +X00003269Y00010467D01* +X00003272Y00010497D01* +X00003276Y00010527D01* +X00003283Y00010556D01* +X00003292Y00010585D01* +X00003304Y00010612D01* +X00003317Y00010639D01* +X00003333Y00010665D01* +X00003350Y00010690D01* +X00003369Y00010713D01* +X00003390Y00010735D01* +X00003413Y00010755D01* +X00003436Y00010773D01* +X00003462Y00010789D01* +X00003488Y00010803D01* +X00003516Y00010816D01* +X00003544Y00010826D01* +X00003573Y00010834D01* +X00003602Y00010839D01* +X00003632Y00010843D01* +X00003662Y00010844D01* +X00006179Y00010844D01* +X00006209Y00010843D01* +X00006239Y00010839D01* +X00006269Y00010834D01* +X00006298Y00010826D01* +X00006326Y00010816D01* +X00006353Y00010803D01* +X00006380Y00010789D01* +X00006405Y00010773D01* +X00006429Y00010755D01* +X00006451Y00010735D01* +X00006472Y00010713D01* +X00006492Y00010690D01* +X00006509Y00010665D01* +X00006524Y00010639D01* +X00006538Y00010612D01* +X00006549Y00010585D01* +X00006558Y00010556D01* +X00006565Y00010527D01* +X00006570Y00010497D01* +X00006572Y00010467D01* +X00006628Y00009162D01* +X00006628Y00009130D01* +X00006626Y00009099D01* +X00006621Y00009067D01* +X00006613Y00009036D01* +X00006603Y00009006D01* +X00006591Y00008977D01* +X00006576Y00008949D01* +X00006559Y00008922D01* +X00006540Y00008897D01* +X00006519Y00008873D01* +X00006496Y00008851D01* +X00006472Y00008831D01* +X00006445Y00008813D01* +X00006418Y00008797D01* +X00006389Y00008783D01* +X00006360Y00008772D01* +X00006329Y00008763D01* +X00006298Y00008757D01* +X00006266Y00008753D01* +X00006235Y00008751D01* +M02* \ No newline at end of file diff --git a/panel/combined.gbl b/panel/combined.gbl new file mode 100755 index 0000000..ec2aa7f --- /dev/null +++ b/panel/combined.gbl @@ -0,0 +1,1267 @@ +%MOIN*% +%OFA0B0*% +%FSLAX46Y46*% +%IPPOS*% +%LPD*% +%ADD10C,0.0039370078740157488*% +%ADD11R,0.11811023622047245X0.11811023622047245*% +%ADD12C,0.11811023622047245*% +%ADD13C,0.0984*% +%ADD14C,0.023622047244094488*% +%ADD15C,0.0066929133858267724*% +%ADD26C,0.0039370078740157488*% +%ADD27R,0.11811023622047245X0.11811023622047245*% +%ADD28C,0.11811023622047245*% +%ADD29C,0.0984*% +%ADD30C,0.023622047244094488*% +%ADD31C,0.0066929133858267724*% +%LPD*% +G01G01* +D10* +D11* +X-0005000000Y0003425196D02* +X0001400000Y0000400196D03* +D12* +X0001400000Y0000600196D03* +D11* +X0000150000Y0000600196D03* +D12* +X0000150000Y0000400196D03* +D13* +X0001000000Y0000275196D03* +X0000799999Y0000175196D03* +X0000499999Y0000275196D03* +D14* +X0000574999Y0000450196D03* +X0000549999Y0000450196D03* +X0000525000Y0000450196D03* +X0000499999Y0000450196D03* +X0000474999Y0000450196D03* +X0000450000Y0000450196D03* +X0000424999Y0000450196D03* +X0000399999Y0000450196D03* +X0000375000Y0000450196D03* +X0000375000Y0000475196D03* +X0000375000Y0000500196D03* +X0000375000Y0000525196D03* +X0000375000Y0000550196D03* +X0000375000Y0000575196D03* +X0000375000Y0000600196D03* +X0000375000Y0000625196D03* +X0000375000Y0000650196D03* +X0000375000Y0000675196D03* +X0000375000Y0000700196D03* +X0000375000Y0000725196D03* +X0000375000Y0000750196D03* +X0000600000Y0000450196D03* +X0000625000Y0000450196D03* +X0000399999Y0000750196D03* +X0000549999Y0000750196D03* +X0000525000Y0000750196D03* +X0000499999Y0000750196D03* +X0000474999Y0000750196D03* +X0000450000Y0000750196D03* +X0000424999Y0000750196D03* +X0000574999Y0000750196D03* +X0000600000Y0000750196D03* +X0000625000Y0000750196D03* +X0000925000Y0000700196D03* +X0000900000Y0000700196D03* +X0000900000Y0000500196D03* +X0000949999Y0000475196D03* +X0000949999Y0000500196D03* +X0000925000Y0000500196D03* +X0000900000Y0000475196D03* +X0000925000Y0000475196D03* +X0000949999Y0000450196D03* +X0000925000Y0000450196D03* +X0000900000Y0000450196D03* +X0000874999Y0000450196D03* +X0000850000Y0000450196D03* +X0000799999Y0000450196D03* +X0000825000Y0000450196D03* +X0000949999Y0000700196D03* +X0000949999Y0000725196D03* +X0000925000Y0000725196D03* +X0000900000Y0000725196D03* +X0000949999Y0000750196D03* +X0000799999Y0000750196D03* +X0000825000Y0000750196D03* +X0000850000Y0000750196D03* +X0000874999Y0000750196D03* +X0000900000Y0000750196D03* +X0000925000Y0000750196D03* +X0000775000Y0000450196D03* +X0000750000Y0000450196D03* +X0000775000Y0000750196D03* +X0000750000Y0000750196D03* +D15* +G36* +X0001537007Y0000528543D02* +X0000974999Y0000528543D01* +X0000973698Y0000528279D01* +X0000972601Y0000527530D01* +X0000971882Y0000526413D01* +X0000971653Y0000525196D01* +X0000971653Y0000428543D01* +X0000678346Y0000428543D01* +X0000678346Y0000536052D01* +X0001377614Y0000536052D01* +X0001386292Y0000531095D01* +X0001413707Y0000531095D01* +X0001422385Y0000536052D01* +X0001400000Y0000558438D01* +X0001377614Y0000536052D01* +X0000678346Y0000536052D01* +X0000678346Y0000613904D01* +X0001330898Y0000613904D01* +X0001330898Y0000586489D01* +X0001335855Y0000577810D01* +X0001358241Y0000600196D01* +X0001441758Y0000600196D01* +X0001464144Y0000577810D01* +X0001469101Y0000586489D01* +X0001469101Y0000613904D01* +X0001464144Y0000622582D01* +X0001441758Y0000600196D01* +X0001358241Y0000600196D01* +X0001335855Y0000622582D01* +X0001330898Y0000613904D01* +X0000678346Y0000613904D01* +X0000678346Y0000664341D01* +X0001377614Y0000664341D01* +X0001400000Y0000641955D01* +X0001422385Y0000664341D01* +X0001413707Y0000669298D01* +X0001386292Y0000669298D01* +X0001377614Y0000664341D01* +X0000678346Y0000664341D01* +X0000678346Y0000862204D01* +X0001537007Y0000862204D01* +X0001537007Y0000528543D01* +X0001537007Y0000528543D01* +G37* +X0001537007Y0000528543D02* +X0000974999Y0000528543D01* +X0000973698Y0000528279D01* +X0000972601Y0000527530D01* +X0000971882Y0000526413D01* +X0000971653Y0000525196D01* +X0000971653Y0000428543D01* +X0000678346Y0000428543D01* +X0000678346Y0000536052D01* +X0001377614Y0000536052D01* +X0001386292Y0000531095D01* +X0001413707Y0000531095D01* +X0001422385Y0000536052D01* +X0001400000Y0000558438D01* +X0001377614Y0000536052D01* +X0000678346Y0000536052D01* +X0000678346Y0000613904D01* +X0001330898Y0000613904D01* +X0001330898Y0000586489D01* +X0001335855Y0000577810D01* +X0001358241Y0000600196D01* +X0001441758Y0000600196D01* +X0001464144Y0000577810D01* +X0001469101Y0000586489D01* +X0001469101Y0000613904D01* +X0001464144Y0000622582D01* +X0001441758Y0000600196D01* +X0001358241Y0000600196D01* +X0001335855Y0000622582D01* +X0001330898Y0000613904D01* +X0000678346Y0000613904D01* +X0000678346Y0000664341D01* +X0001377614Y0000664341D01* +X0001400000Y0000641955D01* +X0001422385Y0000664341D01* +X0001413707Y0000669298D01* +X0001386292Y0000669298D01* +X0001377614Y0000664341D01* +X0000678346Y0000664341D01* +X0000678346Y0000862204D01* +X0001537007Y0000862204D01* +X0001537007Y0000528543D01* +G36* +X0000646653Y0000428543D02* +X0000353346Y0000428543D01* +X0000353346Y0000525196D01* +X0000353082Y0000526498D01* +X0000352333Y0000527595D01* +X0000351216Y0000528314D01* +X0000349999Y0000528543D01* +X0000012992Y0000528543D01* +X0000012992Y0000568159D01* +X0000080905Y0000568159D01* +X0000080905Y0000539144D01* +X0000082433Y0000535454D01* +X0000085257Y0000532630D01* +X0000088947Y0000531102D01* +X0000117962Y0000531102D01* +X0000120472Y0000533612D01* +X0000120472Y0000570669D01* +X0000179527Y0000570669D01* +X0000179527Y0000533612D01* +X0000182037Y0000531102D01* +X0000211052Y0000531102D01* +X0000214741Y0000532630D01* +X0000217566Y0000535454D01* +X0000219094Y0000539144D01* +X0000219094Y0000568159D01* +X0000216584Y0000570669D01* +X0000179527Y0000570669D01* +X0000120472Y0000570669D01* +X0000083415Y0000570669D01* +X0000080905Y0000568159D01* +X0000012992Y0000568159D01* +X0000012992Y0000661248D01* +X0000080905Y0000661248D01* +X0000080905Y0000632234D01* +X0000083415Y0000629724D01* +X0000120472Y0000629724D01* +X0000120472Y0000666781D01* +X0000179527Y0000666781D01* +X0000179527Y0000629724D01* +X0000216584Y0000629724D01* +X0000219094Y0000632234D01* +X0000219094Y0000661248D01* +X0000217566Y0000664938D01* +X0000214741Y0000667762D01* +X0000211052Y0000669291D01* +X0000182037Y0000669291D01* +X0000179527Y0000666781D01* +X0000120472Y0000666781D01* +X0000117962Y0000669291D01* +X0000088947Y0000669291D01* +X0000085257Y0000667762D01* +X0000082433Y0000664938D01* +X0000080905Y0000661248D01* +X0000012992Y0000661248D01* +X0000012992Y0000862204D01* +X0000646653Y0000862204D01* +X0000646653Y0000428543D01* +X0000646653Y0000428543D01* +G37* +X0000646653Y0000428543D02* +X0000353346Y0000428543D01* +X0000353346Y0000525196D01* +X0000353082Y0000526498D01* +X0000352333Y0000527595D01* +X0000351216Y0000528314D01* +X0000349999Y0000528543D01* +X0000012992Y0000528543D01* +X0000012992Y0000568159D01* +X0000080905Y0000568159D01* +X0000080905Y0000539144D01* +X0000082433Y0000535454D01* +X0000085257Y0000532630D01* +X0000088947Y0000531102D01* +X0000117962Y0000531102D01* +X0000120472Y0000533612D01* +X0000120472Y0000570669D01* +X0000179527Y0000570669D01* +X0000179527Y0000533612D01* +X0000182037Y0000531102D01* +X0000211052Y0000531102D01* +X0000214741Y0000532630D01* +X0000217566Y0000535454D01* +X0000219094Y0000539144D01* +X0000219094Y0000568159D01* +X0000216584Y0000570669D01* +X0000179527Y0000570669D01* +X0000120472Y0000570669D01* +X0000083415Y0000570669D01* +X0000080905Y0000568159D01* +X0000012992Y0000568159D01* +X0000012992Y0000661248D01* +X0000080905Y0000661248D01* +X0000080905Y0000632234D01* +X0000083415Y0000629724D01* +X0000120472Y0000629724D01* +X0000120472Y0000666781D01* +X0000179527Y0000666781D01* +X0000179527Y0000629724D01* +X0000216584Y0000629724D01* +X0000219094Y0000632234D01* +X0000219094Y0000661248D01* +X0000217566Y0000664938D01* +X0000214741Y0000667762D01* +X0000211052Y0000669291D01* +X0000182037Y0000669291D01* +X0000179527Y0000666781D01* +X0000120472Y0000666781D01* +X0000117962Y0000669291D01* +X0000088947Y0000669291D01* +X0000085257Y0000667762D01* +X0000082433Y0000664938D01* +X0000080905Y0000661248D01* +X0000012992Y0000661248D01* +X0000012992Y0000862204D01* +X0000646653Y0000862204D01* +X0000646653Y0000428543D01* +G36* +X0000321653Y0000400196D02* +X0000321917Y0000398894D01* +X0000322666Y0000397798D01* +X0000323783Y0000397079D01* +X0000324999Y0000396850D01* +X0001000000Y0000396850D01* +X0001001301Y0000397114D01* +X0001002398Y0000397863D01* +X0001003117Y0000398980D01* +X0001003346Y0000400196D01* +X0001003346Y0000461248D01* +X0001330905Y0000461248D01* +X0001330905Y0000432234D01* +X0001333415Y0000429724D01* +X0001370472Y0000429724D01* +X0001370472Y0000466781D01* +X0001429527Y0000466781D01* +X0001429527Y0000429724D01* +X0001466584Y0000429724D01* +X0001469094Y0000432234D01* +X0001469094Y0000461248D01* +X0001467566Y0000464938D01* +X0001464741Y0000467762D01* +X0001461052Y0000469291D01* +X0001432037Y0000469291D01* +X0001429527Y0000466781D01* +X0001370472Y0000466781D01* +X0001367962Y0000469291D01* +X0001338947Y0000469291D01* +X0001335257Y0000467762D01* +X0001332433Y0000464938D01* +X0001330905Y0000461248D01* +X0001003346Y0000461248D01* +X0001003346Y0000496850D01* +X0001537007Y0000496850D01* +X0001537007Y0000113188D01* +X0000012992Y0000113188D01* +X0000012992Y0000163231D01* +X0000739569Y0000163231D01* +X0000748748Y0000141016D01* +X0000765729Y0000124004D01* +X0000787928Y0000114786D01* +X0000811965Y0000114765D01* +X0000834180Y0000123944D01* +X0000851192Y0000140926D01* +X0000860409Y0000163125D01* +X0000860430Y0000187162D01* +X0000851251Y0000209377D01* +X0000839901Y0000220747D01* +X0000980340Y0000220747D01* +X0000987477Y0000216109D01* +X0001011042Y0000215814D01* +X0001012522Y0000216109D01* +X0001019659Y0000220747D01* +X0001000000Y0000240407D01* +X0000980340Y0000220747D01* +X0000839901Y0000220747D01* +X0000834270Y0000226388D01* +X0000812071Y0000235606D01* +X0000788034Y0000235627D01* +X0000765819Y0000226448D01* +X0000748807Y0000209466D01* +X0000739590Y0000187267D01* +X0000739569Y0000163231D01* +X0000012992Y0000163231D01* +X0000012992Y0000263231D01* +X0000439569Y0000263231D01* +X0000448748Y0000241016D01* +X0000465729Y0000224004D01* +X0000487928Y0000214786D01* +X0000511965Y0000214765D01* +X0000534180Y0000223945D01* +X0000551192Y0000240926D01* +X0000560409Y0000263125D01* +X0000560410Y0000264154D01* +X0000940618Y0000264154D01* +X0000940912Y0000262674D01* +X0000945550Y0000255536D01* +X0000965210Y0000275196D01* +X0001034789Y0000275196D01* +X0001054449Y0000255536D01* +X0001059087Y0000262674D01* +X0001059381Y0000286239D01* +X0001059087Y0000287719D01* +X0001054449Y0000294856D01* +X0001034789Y0000275196D01* +X0000965210Y0000275196D01* +X0000945550Y0000294856D01* +X0000940912Y0000287719D01* +X0000940618Y0000264154D01* +X0000560410Y0000264154D01* +X0000560430Y0000287162D01* +X0000551251Y0000309377D01* +X0000534270Y0000326388D01* +X0000526425Y0000329646D01* +X0000980340Y0000329646D01* +X0001000000Y0000309986D01* +X0001019659Y0000329646D01* +X0001012522Y0000334284D01* +X0000988957Y0000334578D01* +X0000987477Y0000334284D01* +X0000980340Y0000329646D01* +X0000526425Y0000329646D01* +X0000512071Y0000335606D01* +X0000488034Y0000335627D01* +X0000465819Y0000326448D01* +X0000448807Y0000309466D01* +X0000439590Y0000287267D01* +X0000439569Y0000263231D01* +X0000012992Y0000263231D01* +X0000012992Y0000336052D01* +X0000127614Y0000336052D01* +X0000136292Y0000331095D01* +X0000163707Y0000331095D01* +X0000172385Y0000336052D01* +X0000150000Y0000358438D01* +X0000127614Y0000336052D01* +X0000012992Y0000336052D01* +X0000012992Y0000368159D01* +X0001330905Y0000368159D01* +X0001330905Y0000339144D01* +X0001332433Y0000335454D01* +X0001335257Y0000332630D01* +X0001338947Y0000331102D01* +X0001367962Y0000331102D01* +X0001370472Y0000333612D01* +X0001370472Y0000370669D01* +X0001429527Y0000370669D01* +X0001429527Y0000333612D01* +X0001432037Y0000331102D01* +X0001461052Y0000331102D01* +X0001464741Y0000332630D01* +X0001467566Y0000335454D01* +X0001469094Y0000339144D01* +X0001469094Y0000368159D01* +X0001466584Y0000370669D01* +X0001429527Y0000370669D01* +X0001370472Y0000370669D01* +X0001333415Y0000370669D01* +X0001330905Y0000368159D01* +X0000012992Y0000368159D01* +X0000012992Y0000413904D01* +X0000080898Y0000413904D01* +X0000080898Y0000386489D01* +X0000085855Y0000377810D01* +X0000108241Y0000400196D01* +X0000191758Y0000400196D01* +X0000214144Y0000377810D01* +X0000219101Y0000386489D01* +X0000219101Y0000413904D01* +X0000214144Y0000422582D01* +X0000191758Y0000400196D01* +X0000108241Y0000400196D01* +X0000085855Y0000422582D01* +X0000080898Y0000413904D01* +X0000012992Y0000413904D01* +X0000012992Y0000464341D01* +X0000127614Y0000464341D01* +X0000150000Y0000441955D01* +X0000172385Y0000464341D01* +X0000163707Y0000469298D01* +X0000136292Y0000469298D01* +X0000127614Y0000464341D01* +X0000012992Y0000464341D01* +X0000012992Y0000496850D01* +X0000321653Y0000496850D01* +X0000321653Y0000400196D01* +X0000321653Y0000400196D01* +G37* +X0000321653Y0000400196D02* +X0000321917Y0000398894D01* +X0000322666Y0000397798D01* +X0000323783Y0000397079D01* +X0000324999Y0000396850D01* +X0001000000Y0000396850D01* +X0001001301Y0000397114D01* +X0001002398Y0000397863D01* +X0001003117Y0000398980D01* +X0001003346Y0000400196D01* +X0001003346Y0000461248D01* +X0001330905Y0000461248D01* +X0001330905Y0000432234D01* +X0001333415Y0000429724D01* +X0001370472Y0000429724D01* +X0001370472Y0000466781D01* +X0001429527Y0000466781D01* +X0001429527Y0000429724D01* +X0001466584Y0000429724D01* +X0001469094Y0000432234D01* +X0001469094Y0000461248D01* +X0001467566Y0000464938D01* +X0001464741Y0000467762D01* +X0001461052Y0000469291D01* +X0001432037Y0000469291D01* +X0001429527Y0000466781D01* +X0001370472Y0000466781D01* +X0001367962Y0000469291D01* +X0001338947Y0000469291D01* +X0001335257Y0000467762D01* +X0001332433Y0000464938D01* +X0001330905Y0000461248D01* +X0001003346Y0000461248D01* +X0001003346Y0000496850D01* +X0001537007Y0000496850D01* +X0001537007Y0000113188D01* +X0000012992Y0000113188D01* +X0000012992Y0000163231D01* +X0000739569Y0000163231D01* +X0000748748Y0000141016D01* +X0000765729Y0000124004D01* +X0000787928Y0000114786D01* +X0000811965Y0000114765D01* +X0000834180Y0000123944D01* +X0000851192Y0000140926D01* +X0000860409Y0000163125D01* +X0000860430Y0000187162D01* +X0000851251Y0000209377D01* +X0000839901Y0000220747D01* +X0000980340Y0000220747D01* +X0000987477Y0000216109D01* +X0001011042Y0000215814D01* +X0001012522Y0000216109D01* +X0001019659Y0000220747D01* +X0001000000Y0000240407D01* +X0000980340Y0000220747D01* +X0000839901Y0000220747D01* +X0000834270Y0000226388D01* +X0000812071Y0000235606D01* +X0000788034Y0000235627D01* +X0000765819Y0000226448D01* +X0000748807Y0000209466D01* +X0000739590Y0000187267D01* +X0000739569Y0000163231D01* +X0000012992Y0000163231D01* +X0000012992Y0000263231D01* +X0000439569Y0000263231D01* +X0000448748Y0000241016D01* +X0000465729Y0000224004D01* +X0000487928Y0000214786D01* +X0000511965Y0000214765D01* +X0000534180Y0000223945D01* +X0000551192Y0000240926D01* +X0000560409Y0000263125D01* +X0000560410Y0000264154D01* +X0000940618Y0000264154D01* +X0000940912Y0000262674D01* +X0000945550Y0000255536D01* +X0000965210Y0000275196D01* +X0001034789Y0000275196D01* +X0001054449Y0000255536D01* +X0001059087Y0000262674D01* +X0001059381Y0000286239D01* +X0001059087Y0000287719D01* +X0001054449Y0000294856D01* +X0001034789Y0000275196D01* +X0000965210Y0000275196D01* +X0000945550Y0000294856D01* +X0000940912Y0000287719D01* +X0000940618Y0000264154D01* +X0000560410Y0000264154D01* +X0000560430Y0000287162D01* +X0000551251Y0000309377D01* +X0000534270Y0000326388D01* +X0000526425Y0000329646D01* +X0000980340Y0000329646D01* +X0001000000Y0000309986D01* +X0001019659Y0000329646D01* +X0001012522Y0000334284D01* +X0000988957Y0000334578D01* +X0000987477Y0000334284D01* +X0000980340Y0000329646D01* +X0000526425Y0000329646D01* +X0000512071Y0000335606D01* +X0000488034Y0000335627D01* +X0000465819Y0000326448D01* +X0000448807Y0000309466D01* +X0000439590Y0000287267D01* +X0000439569Y0000263231D01* +X0000012992Y0000263231D01* +X0000012992Y0000336052D01* +X0000127614Y0000336052D01* +X0000136292Y0000331095D01* +X0000163707Y0000331095D01* +X0000172385Y0000336052D01* +X0000150000Y0000358438D01* +X0000127614Y0000336052D01* +X0000012992Y0000336052D01* +X0000012992Y0000368159D01* +X0001330905Y0000368159D01* +X0001330905Y0000339144D01* +X0001332433Y0000335454D01* +X0001335257Y0000332630D01* +X0001338947Y0000331102D01* +X0001367962Y0000331102D01* +X0001370472Y0000333612D01* +X0001370472Y0000370669D01* +X0001429527Y0000370669D01* +X0001429527Y0000333612D01* +X0001432037Y0000331102D01* +X0001461052Y0000331102D01* +X0001464741Y0000332630D01* +X0001467566Y0000335454D01* +X0001469094Y0000339144D01* +X0001469094Y0000368159D01* +X0001466584Y0000370669D01* +X0001429527Y0000370669D01* +X0001370472Y0000370669D01* +X0001333415Y0000370669D01* +X0001330905Y0000368159D01* +X0000012992Y0000368159D01* +X0000012992Y0000413904D01* +X0000080898Y0000413904D01* +X0000080898Y0000386489D01* +X0000085855Y0000377810D01* +X0000108241Y0000400196D01* +X0000191758Y0000400196D01* +X0000214144Y0000377810D01* +X0000219101Y0000386489D01* +X0000219101Y0000413904D01* +X0000214144Y0000422582D01* +X0000191758Y0000400196D01* +X0000108241Y0000400196D01* +X0000085855Y0000422582D01* +X0000080898Y0000413904D01* +X0000012992Y0000413904D01* +X0000012992Y0000464341D01* +X0000127614Y0000464341D01* +X0000150000Y0000441955D01* +X0000172385Y0000464341D01* +X0000163707Y0000469298D01* +X0000136292Y0000469298D01* +X0000127614Y0000464341D01* +X0000012992Y0000464341D01* +X0000012992Y0000496850D01* +X0000321653Y0000496850D01* +X0000321653Y0000400196D01* +G04 next file* +G04 #@! TF.FileFunction,Copper,L2,Bot,Signal* +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* +G01G01* +G04 APERTURE LIST* +G04 APERTURE END LIST* +D26* +D27* +X-0005000000Y0004409448D02* +X0001400000Y0001384448D03* +D28* +X0001400000Y0001584448D03* +D27* +X0000150000Y0001584448D03* +D28* +X0000150000Y0001384448D03* +D29* +X0001000000Y0001259448D03* +X0000799999Y0001159448D03* +X0000499999Y0001259448D03* +D30* +X0000574999Y0001434448D03* +X0000549999Y0001434448D03* +X0000525000Y0001434448D03* +X0000499999Y0001434448D03* +X0000474999Y0001434448D03* +X0000450000Y0001434448D03* +X0000424999Y0001434448D03* +X0000399999Y0001434448D03* +X0000375000Y0001434448D03* +X0000375000Y0001459448D03* +X0000375000Y0001484448D03* +X0000375000Y0001509448D03* +X0000375000Y0001534448D03* +X0000375000Y0001559448D03* +X0000375000Y0001584448D03* +X0000375000Y0001609448D03* +X0000375000Y0001634448D03* +X0000375000Y0001659448D03* +X0000375000Y0001684448D03* +X0000375000Y0001709448D03* +X0000375000Y0001734448D03* +X0000600000Y0001434448D03* +X0000625000Y0001434448D03* +X0000399999Y0001734448D03* +X0000549999Y0001734448D03* +X0000525000Y0001734448D03* +X0000499999Y0001734448D03* +X0000474999Y0001734448D03* +X0000450000Y0001734448D03* +X0000424999Y0001734448D03* +X0000574999Y0001734448D03* +X0000600000Y0001734448D03* +X0000625000Y0001734448D03* +X0000925000Y0001684448D03* +X0000900000Y0001684448D03* +X0000900000Y0001484448D03* +X0000949999Y0001459448D03* +X0000949999Y0001484448D03* +X0000925000Y0001484448D03* +X0000900000Y0001459448D03* +X0000925000Y0001459448D03* +X0000949999Y0001434448D03* +X0000925000Y0001434448D03* +X0000900000Y0001434448D03* +X0000874999Y0001434448D03* +X0000850000Y0001434448D03* +X0000799999Y0001434448D03* +X0000825000Y0001434448D03* +X0000949999Y0001684448D03* +X0000949999Y0001709448D03* +X0000925000Y0001709448D03* +X0000900000Y0001709448D03* +X0000949999Y0001734448D03* +X0000799999Y0001734448D03* +X0000825000Y0001734448D03* +X0000850000Y0001734448D03* +X0000874999Y0001734448D03* +X0000900000Y0001734448D03* +X0000925000Y0001734448D03* +X0000775000Y0001434448D03* +X0000750000Y0001434448D03* +X0000775000Y0001734448D03* +X0000750000Y0001734448D03* +D31* +G36* +X0001537007Y0001512795D02* +X0000974999Y0001512795D01* +X0000973698Y0001512531D01* +X0000972601Y0001511782D01* +X0000971882Y0001510665D01* +X0000971653Y0001509448D01* +X0000971653Y0001412795D01* +X0000678346Y0001412795D01* +X0000678346Y0001520304D01* +X0001377614Y0001520304D01* +X0001386292Y0001515347D01* +X0001413707Y0001515347D01* +X0001422385Y0001520304D01* +X0001400000Y0001542690D01* +X0001377614Y0001520304D01* +X0000678346Y0001520304D01* +X0000678346Y0001598156D01* +X0001330898Y0001598156D01* +X0001330898Y0001570741D01* +X0001335855Y0001562062D01* +X0001358241Y0001584448D01* +X0001441758Y0001584448D01* +X0001464144Y0001562062D01* +X0001469101Y0001570741D01* +X0001469101Y0001598156D01* +X0001464144Y0001606834D01* +X0001441758Y0001584448D01* +X0001358241Y0001584448D01* +X0001335855Y0001606834D01* +X0001330898Y0001598156D01* +X0000678346Y0001598156D01* +X0000678346Y0001648592D01* +X0001377614Y0001648592D01* +X0001400000Y0001626207D01* +X0001422385Y0001648592D01* +X0001413707Y0001653550D01* +X0001386292Y0001653550D01* +X0001377614Y0001648592D01* +X0000678346Y0001648592D01* +X0000678346Y0001846456D01* +X0001537007Y0001846456D01* +X0001537007Y0001512795D01* +X0001537007Y0001512795D01* +G37* +X0001537007Y0001512795D02* +X0000974999Y0001512795D01* +X0000973698Y0001512531D01* +X0000972601Y0001511782D01* +X0000971882Y0001510665D01* +X0000971653Y0001509448D01* +X0000971653Y0001412795D01* +X0000678346Y0001412795D01* +X0000678346Y0001520304D01* +X0001377614Y0001520304D01* +X0001386292Y0001515347D01* +X0001413707Y0001515347D01* +X0001422385Y0001520304D01* +X0001400000Y0001542690D01* +X0001377614Y0001520304D01* +X0000678346Y0001520304D01* +X0000678346Y0001598156D01* +X0001330898Y0001598156D01* +X0001330898Y0001570741D01* +X0001335855Y0001562062D01* +X0001358241Y0001584448D01* +X0001441758Y0001584448D01* +X0001464144Y0001562062D01* +X0001469101Y0001570741D01* +X0001469101Y0001598156D01* +X0001464144Y0001606834D01* +X0001441758Y0001584448D01* +X0001358241Y0001584448D01* +X0001335855Y0001606834D01* +X0001330898Y0001598156D01* +X0000678346Y0001598156D01* +X0000678346Y0001648592D01* +X0001377614Y0001648592D01* +X0001400000Y0001626207D01* +X0001422385Y0001648592D01* +X0001413707Y0001653550D01* +X0001386292Y0001653550D01* +X0001377614Y0001648592D01* +X0000678346Y0001648592D01* +X0000678346Y0001846456D01* +X0001537007Y0001846456D01* +X0001537007Y0001512795D01* +G36* +X0000646653Y0001412795D02* +X0000353346Y0001412795D01* +X0000353346Y0001509448D01* +X0000353082Y0001510750D01* +X0000352333Y0001511847D01* +X0000351216Y0001512566D01* +X0000349999Y0001512795D01* +X0000012992Y0001512795D01* +X0000012992Y0001552411D01* +X0000080905Y0001552411D01* +X0000080905Y0001523396D01* +X0000082433Y0001519706D01* +X0000085257Y0001516882D01* +X0000088947Y0001515354D01* +X0000117962Y0001515354D01* +X0000120472Y0001517864D01* +X0000120472Y0001554921D01* +X0000179527Y0001554921D01* +X0000179527Y0001517864D01* +X0000182037Y0001515354D01* +X0000211052Y0001515354D01* +X0000214741Y0001516882D01* +X0000217566Y0001519706D01* +X0000219094Y0001523396D01* +X0000219094Y0001552411D01* +X0000216584Y0001554921D01* +X0000179527Y0001554921D01* +X0000120472Y0001554921D01* +X0000083415Y0001554921D01* +X0000080905Y0001552411D01* +X0000012992Y0001552411D01* +X0000012992Y0001645500D01* +X0000080905Y0001645500D01* +X0000080905Y0001616486D01* +X0000083415Y0001613976D01* +X0000120472Y0001613976D01* +X0000120472Y0001651033D01* +X0000179527Y0001651033D01* +X0000179527Y0001613976D01* +X0000216584Y0001613976D01* +X0000219094Y0001616486D01* +X0000219094Y0001645500D01* +X0000217566Y0001649190D01* +X0000214741Y0001652014D01* +X0000211052Y0001653543D01* +X0000182037Y0001653543D01* +X0000179527Y0001651033D01* +X0000120472Y0001651033D01* +X0000117962Y0001653543D01* +X0000088947Y0001653543D01* +X0000085257Y0001652014D01* +X0000082433Y0001649190D01* +X0000080905Y0001645500D01* +X0000012992Y0001645500D01* +X0000012992Y0001846456D01* +X0000646653Y0001846456D01* +X0000646653Y0001412795D01* +X0000646653Y0001412795D01* +G37* +X0000646653Y0001412795D02* +X0000353346Y0001412795D01* +X0000353346Y0001509448D01* +X0000353082Y0001510750D01* +X0000352333Y0001511847D01* +X0000351216Y0001512566D01* +X0000349999Y0001512795D01* +X0000012992Y0001512795D01* +X0000012992Y0001552411D01* +X0000080905Y0001552411D01* +X0000080905Y0001523396D01* +X0000082433Y0001519706D01* +X0000085257Y0001516882D01* +X0000088947Y0001515354D01* +X0000117962Y0001515354D01* +X0000120472Y0001517864D01* +X0000120472Y0001554921D01* +X0000179527Y0001554921D01* +X0000179527Y0001517864D01* +X0000182037Y0001515354D01* +X0000211052Y0001515354D01* +X0000214741Y0001516882D01* +X0000217566Y0001519706D01* +X0000219094Y0001523396D01* +X0000219094Y0001552411D01* +X0000216584Y0001554921D01* +X0000179527Y0001554921D01* +X0000120472Y0001554921D01* +X0000083415Y0001554921D01* +X0000080905Y0001552411D01* +X0000012992Y0001552411D01* +X0000012992Y0001645500D01* +X0000080905Y0001645500D01* +X0000080905Y0001616486D01* +X0000083415Y0001613976D01* +X0000120472Y0001613976D01* +X0000120472Y0001651033D01* +X0000179527Y0001651033D01* +X0000179527Y0001613976D01* +X0000216584Y0001613976D01* +X0000219094Y0001616486D01* +X0000219094Y0001645500D01* +X0000217566Y0001649190D01* +X0000214741Y0001652014D01* +X0000211052Y0001653543D01* +X0000182037Y0001653543D01* +X0000179527Y0001651033D01* +X0000120472Y0001651033D01* +X0000117962Y0001653543D01* +X0000088947Y0001653543D01* +X0000085257Y0001652014D01* +X0000082433Y0001649190D01* +X0000080905Y0001645500D01* +X0000012992Y0001645500D01* +X0000012992Y0001846456D01* +X0000646653Y0001846456D01* +X0000646653Y0001412795D01* +G36* +X0000321653Y0001384448D02* +X0000321917Y0001383146D01* +X0000322666Y0001382050D01* +X0000323783Y0001381331D01* +X0000324999Y0001381102D01* +X0001000000Y0001381102D01* +X0001001301Y0001381366D01* +X0001002398Y0001382115D01* +X0001003117Y0001383232D01* +X0001003346Y0001384448D01* +X0001003346Y0001445500D01* +X0001330905Y0001445500D01* +X0001330905Y0001416486D01* +X0001333415Y0001413976D01* +X0001370472Y0001413976D01* +X0001370472Y0001451033D01* +X0001429527Y0001451033D01* +X0001429527Y0001413976D01* +X0001466584Y0001413976D01* +X0001469094Y0001416486D01* +X0001469094Y0001445500D01* +X0001467566Y0001449190D01* +X0001464741Y0001452014D01* +X0001461052Y0001453543D01* +X0001432037Y0001453543D01* +X0001429527Y0001451033D01* +X0001370472Y0001451033D01* +X0001367962Y0001453543D01* +X0001338947Y0001453543D01* +X0001335257Y0001452014D01* +X0001332433Y0001449190D01* +X0001330905Y0001445500D01* +X0001003346Y0001445500D01* +X0001003346Y0001481102D01* +X0001537007Y0001481102D01* +X0001537007Y0001097440D01* +X0000012992Y0001097440D01* +X0000012992Y0001147483D01* +X0000739569Y0001147483D01* +X0000748748Y0001125268D01* +X0000765729Y0001108256D01* +X0000787928Y0001099038D01* +X0000811965Y0001099017D01* +X0000834180Y0001108196D01* +X0000851192Y0001125178D01* +X0000860409Y0001147377D01* +X0000860430Y0001171414D01* +X0000851251Y0001193629D01* +X0000839901Y0001204999D01* +X0000980340Y0001204999D01* +X0000987477Y0001200361D01* +X0001011042Y0001200066D01* +X0001012522Y0001200361D01* +X0001019659Y0001204999D01* +X0001000000Y0001224659D01* +X0000980340Y0001204999D01* +X0000839901Y0001204999D01* +X0000834270Y0001210640D01* +X0000812071Y0001219858D01* +X0000788034Y0001219879D01* +X0000765819Y0001210700D01* +X0000748807Y0001193718D01* +X0000739590Y0001171519D01* +X0000739569Y0001147483D01* +X0000012992Y0001147483D01* +X0000012992Y0001247483D01* +X0000439569Y0001247483D01* +X0000448748Y0001225268D01* +X0000465729Y0001208256D01* +X0000487928Y0001199038D01* +X0000511965Y0001199017D01* +X0000534180Y0001208196D01* +X0000551192Y0001225178D01* +X0000560409Y0001247377D01* +X0000560410Y0001248406D01* +X0000940618Y0001248406D01* +X0000940912Y0001246926D01* +X0000945550Y0001239788D01* +X0000965210Y0001259448D01* +X0001034789Y0001259448D01* +X0001054449Y0001239788D01* +X0001059087Y0001246926D01* +X0001059381Y0001270491D01* +X0001059087Y0001271971D01* +X0001054449Y0001279108D01* +X0001034789Y0001259448D01* +X0000965210Y0001259448D01* +X0000945550Y0001279108D01* +X0000940912Y0001271971D01* +X0000940618Y0001248406D01* +X0000560410Y0001248406D01* +X0000560430Y0001271414D01* +X0000551251Y0001293629D01* +X0000534270Y0001310640D01* +X0000526425Y0001313898D01* +X0000980340Y0001313898D01* +X0001000000Y0001294238D01* +X0001019659Y0001313898D01* +X0001012522Y0001318536D01* +X0000988957Y0001318830D01* +X0000987477Y0001318536D01* +X0000980340Y0001313898D01* +X0000526425Y0001313898D01* +X0000512071Y0001319858D01* +X0000488034Y0001319879D01* +X0000465819Y0001310700D01* +X0000448807Y0001293718D01* +X0000439590Y0001271519D01* +X0000439569Y0001247483D01* +X0000012992Y0001247483D01* +X0000012992Y0001320304D01* +X0000127614Y0001320304D01* +X0000136292Y0001315347D01* +X0000163707Y0001315347D01* +X0000172385Y0001320304D01* +X0000150000Y0001342690D01* +X0000127614Y0001320304D01* +X0000012992Y0001320304D01* +X0000012992Y0001352411D01* +X0001330905Y0001352411D01* +X0001330905Y0001323396D01* +X0001332433Y0001319706D01* +X0001335257Y0001316882D01* +X0001338947Y0001315354D01* +X0001367962Y0001315354D01* +X0001370472Y0001317864D01* +X0001370472Y0001354921D01* +X0001429527Y0001354921D01* +X0001429527Y0001317864D01* +X0001432037Y0001315354D01* +X0001461052Y0001315354D01* +X0001464741Y0001316882D01* +X0001467566Y0001319706D01* +X0001469094Y0001323396D01* +X0001469094Y0001352411D01* +X0001466584Y0001354921D01* +X0001429527Y0001354921D01* +X0001370472Y0001354921D01* +X0001333415Y0001354921D01* +X0001330905Y0001352411D01* +X0000012992Y0001352411D01* +X0000012992Y0001398156D01* +X0000080898Y0001398156D01* +X0000080898Y0001370741D01* +X0000085855Y0001362062D01* +X0000108241Y0001384448D01* +X0000191758Y0001384448D01* +X0000214144Y0001362062D01* +X0000219101Y0001370741D01* +X0000219101Y0001398156D01* +X0000214144Y0001406834D01* +X0000191758Y0001384448D01* +X0000108241Y0001384448D01* +X0000085855Y0001406834D01* +X0000080898Y0001398156D01* +X0000012992Y0001398156D01* +X0000012992Y0001448592D01* +X0000127614Y0001448592D01* +X0000150000Y0001426207D01* +X0000172385Y0001448592D01* +X0000163707Y0001453550D01* +X0000136292Y0001453550D01* +X0000127614Y0001448592D01* +X0000012992Y0001448592D01* +X0000012992Y0001481102D01* +X0000321653Y0001481102D01* +X0000321653Y0001384448D01* +X0000321653Y0001384448D01* +G37* +X0000321653Y0001384448D02* +X0000321917Y0001383146D01* +X0000322666Y0001382050D01* +X0000323783Y0001381331D01* +X0000324999Y0001381102D01* +X0001000000Y0001381102D01* +X0001001301Y0001381366D01* +X0001002398Y0001382115D01* +X0001003117Y0001383232D01* +X0001003346Y0001384448D01* +X0001003346Y0001445500D01* +X0001330905Y0001445500D01* +X0001330905Y0001416486D01* +X0001333415Y0001413976D01* +X0001370472Y0001413976D01* +X0001370472Y0001451033D01* +X0001429527Y0001451033D01* +X0001429527Y0001413976D01* +X0001466584Y0001413976D01* +X0001469094Y0001416486D01* +X0001469094Y0001445500D01* +X0001467566Y0001449190D01* +X0001464741Y0001452014D01* +X0001461052Y0001453543D01* +X0001432037Y0001453543D01* +X0001429527Y0001451033D01* +X0001370472Y0001451033D01* +X0001367962Y0001453543D01* +X0001338947Y0001453543D01* +X0001335257Y0001452014D01* +X0001332433Y0001449190D01* +X0001330905Y0001445500D01* +X0001003346Y0001445500D01* +X0001003346Y0001481102D01* +X0001537007Y0001481102D01* +X0001537007Y0001097440D01* +X0000012992Y0001097440D01* +X0000012992Y0001147483D01* +X0000739569Y0001147483D01* +X0000748748Y0001125268D01* +X0000765729Y0001108256D01* +X0000787928Y0001099038D01* +X0000811965Y0001099017D01* +X0000834180Y0001108196D01* +X0000851192Y0001125178D01* +X0000860409Y0001147377D01* +X0000860430Y0001171414D01* +X0000851251Y0001193629D01* +X0000839901Y0001204999D01* +X0000980340Y0001204999D01* +X0000987477Y0001200361D01* +X0001011042Y0001200066D01* +X0001012522Y0001200361D01* +X0001019659Y0001204999D01* +X0001000000Y0001224659D01* +X0000980340Y0001204999D01* +X0000839901Y0001204999D01* +X0000834270Y0001210640D01* +X0000812071Y0001219858D01* +X0000788034Y0001219879D01* +X0000765819Y0001210700D01* +X0000748807Y0001193718D01* +X0000739590Y0001171519D01* +X0000739569Y0001147483D01* +X0000012992Y0001147483D01* +X0000012992Y0001247483D01* +X0000439569Y0001247483D01* +X0000448748Y0001225268D01* +X0000465729Y0001208256D01* +X0000487928Y0001199038D01* +X0000511965Y0001199017D01* +X0000534180Y0001208196D01* +X0000551192Y0001225178D01* +X0000560409Y0001247377D01* +X0000560410Y0001248406D01* +X0000940618Y0001248406D01* +X0000940912Y0001246926D01* +X0000945550Y0001239788D01* +X0000965210Y0001259448D01* +X0001034789Y0001259448D01* +X0001054449Y0001239788D01* +X0001059087Y0001246926D01* +X0001059381Y0001270491D01* +X0001059087Y0001271971D01* +X0001054449Y0001279108D01* +X0001034789Y0001259448D01* +X0000965210Y0001259448D01* +X0000945550Y0001279108D01* +X0000940912Y0001271971D01* +X0000940618Y0001248406D01* +X0000560410Y0001248406D01* +X0000560430Y0001271414D01* +X0000551251Y0001293629D01* +X0000534270Y0001310640D01* +X0000526425Y0001313898D01* +X0000980340Y0001313898D01* +X0001000000Y0001294238D01* +X0001019659Y0001313898D01* +X0001012522Y0001318536D01* +X0000988957Y0001318830D01* +X0000987477Y0001318536D01* +X0000980340Y0001313898D01* +X0000526425Y0001313898D01* +X0000512071Y0001319858D01* +X0000488034Y0001319879D01* +X0000465819Y0001310700D01* +X0000448807Y0001293718D01* +X0000439590Y0001271519D01* +X0000439569Y0001247483D01* +X0000012992Y0001247483D01* +X0000012992Y0001320304D01* +X0000127614Y0001320304D01* +X0000136292Y0001315347D01* +X0000163707Y0001315347D01* +X0000172385Y0001320304D01* +X0000150000Y0001342690D01* +X0000127614Y0001320304D01* +X0000012992Y0001320304D01* +X0000012992Y0001352411D01* +X0001330905Y0001352411D01* +X0001330905Y0001323396D01* +X0001332433Y0001319706D01* +X0001335257Y0001316882D01* +X0001338947Y0001315354D01* +X0001367962Y0001315354D01* +X0001370472Y0001317864D01* +X0001370472Y0001354921D01* +X0001429527Y0001354921D01* +X0001429527Y0001317864D01* +X0001432037Y0001315354D01* +X0001461052Y0001315354D01* +X0001464741Y0001316882D01* +X0001467566Y0001319706D01* +X0001469094Y0001323396D01* +X0001469094Y0001352411D01* +X0001466584Y0001354921D01* +X0001429527Y0001354921D01* +X0001370472Y0001354921D01* +X0001333415Y0001354921D01* +X0001330905Y0001352411D01* +X0000012992Y0001352411D01* +X0000012992Y0001398156D01* +X0000080898Y0001398156D01* +X0000080898Y0001370741D01* +X0000085855Y0001362062D01* +X0000108241Y0001384448D01* +X0000191758Y0001384448D01* +X0000214144Y0001362062D01* +X0000219101Y0001370741D01* +X0000219101Y0001398156D01* +X0000214144Y0001406834D01* +X0000191758Y0001384448D01* +X0000108241Y0001384448D01* +X0000085855Y0001406834D01* +X0000080898Y0001398156D01* +X0000012992Y0001398156D01* +X0000012992Y0001448592D01* +X0000127614Y0001448592D01* +X0000150000Y0001426207D01* +X0000172385Y0001448592D01* +X0000163707Y0001453550D01* +X0000136292Y0001453550D01* +X0000127614Y0001448592D01* +X0000012992Y0001448592D01* +X0000012992Y0001481102D01* +X0000321653Y0001481102D01* +X0000321653Y0001384448D01* +M02* \ No newline at end of file diff --git a/panel/combined.gbo b/panel/combined.gbo new file mode 100755 index 0000000..98c0a69 --- /dev/null +++ b/panel/combined.gbo @@ -0,0 +1,28037 @@ +%MOIN*% +%OFA0B0*% +%FSLAX46Y46*% +%IPPOS*% +%LPD*% +%ADD10C,0.0039370078740157488*% +%ADD11C,0.005905511811023622*% +%ADD12C,0.0063976377952755913*% +%ADD13C,0.00984251968503937*% +%ADD14C,0.011811023622047244*% +%ADD15C,0.00010000000000000002*% +%ADD26C,0.0039370078740157488*% +%ADD27C,0.005905511811023622*% +%ADD28C,0.0063976377952755913*% +%ADD29C,0.00984251968503937*% +%ADD30C,0.011811023622047244*% +%ADD31C,0.00010000000000000002*% +%LPD*% +G01G01* +D10* +D11* +X0000692937Y0000182122D02* +X0000694504Y0000180898D01* +X0000698863Y0000180016D01* +X0000701654Y0000180359D01* +X0000705669Y0000182269D01* +X0000708118Y0000185403D01* +X0000709170Y0000188365D01* +X0000709881Y0000194119D01* +X0000709367Y0000198306D01* +X0000707286Y0000203717D01* +X0000705547Y0000206337D01* +X0000702413Y0000208785D01* +X0000698055Y0000209667D01* +X0000695264Y0000209324D01* +X0000691249Y0000207414D01* +X0000690024Y0000205847D01* +X0000663630Y0000178524D02* +X0000665197Y0000177299D01* +X0000669555Y0000176418D01* +X0000672346Y0000176761D01* +X0000676362Y0000178670D01* +X0000678810Y0000181804D01* +X0000679863Y0000184767D01* +X0000680573Y0000190520D01* +X0000680059Y0000194707D01* +X0000677978Y0000200118D01* +X0000676240Y0000202738D01* +X0000673106Y0000205187D01* +X0000668748Y0000206068D01* +X0000665957Y0000205725D01* +X0000661941Y0000203816D01* +X0000660717Y0000202249D01* +X0000650041Y0000185355D02* +X0000627712Y0000182613D01* +X0000603473Y0000183887D02* +X0000599457Y0000181977D01* +X0000598233Y0000180410D01* +X0000597180Y0000177448D01* +X0000597694Y0000173261D01* +X0000599433Y0000170641D01* +X0000601000Y0000169417D01* +X0000603962Y0000168364D01* +X0000615127Y0000169735D01* +X0000611528Y0000199042D01* +X0000601759Y0000197843D01* +X0000599139Y0000196105D01* +X0000597915Y0000194538D01* +X0000596862Y0000191575D01* +X0000597205Y0000188784D01* +X0000598943Y0000186164D01* +X0000600510Y0000184940D01* +X0000603473Y0000183887D01* +X0000613242Y0000185086D01* +X0000578523Y0000179407D02* +X0000580237Y0000165451D01* +X0000586408Y0000195958D02* +X0000578523Y0000179407D01* +X0000566869Y0000193559D01* +X0000559328Y0000174217D02* +X0000536998Y0000171475D01* +X0000525638Y0000160164D02* +X0000521622Y0000158254D01* +X0000514644Y0000157397D01* +X0000511682Y0000158450D01* +X0000510115Y0000159674D01* +X0000508376Y0000162294D01* +X0000508034Y0000165085D01* +X0000509087Y0000168048D01* +X0000510311Y0000169615D01* +X0000512931Y0000171353D01* +X0000518342Y0000173434D01* +X0000520961Y0000175172D01* +X0000522186Y0000176739D01* +X0000523239Y0000179702D01* +X0000522896Y0000182493D01* +X0000521158Y0000185113D01* +X0000519591Y0000186337D01* +X0000516628Y0000187390D01* +X0000509650Y0000186533D01* +X0000505635Y0000184624D01* +X0000496869Y0000163715D02* +X0000482913Y0000162001D01* +X0000500688Y0000155684D02* +X0000487321Y0000183792D01* +X0000481150Y0000153285D01* +X0000448244Y0000178994D02* +X0000430101Y0000176766D01* +X0000441241Y0000166801D01* +X0000437055Y0000166287D01* +X0000434435Y0000164548D01* +X0000433210Y0000162981D01* +X0000432157Y0000160019D01* +X0000433014Y0000153041D01* +X0000434753Y0000150421D01* +X0000436320Y0000149197D01* +X0000439282Y0000148144D01* +X0000447656Y0000149172D01* +X0000450276Y0000150910D01* +X0000451500Y0000152477D01* +X0000420797Y0000148707D02* +X0000419572Y0000147141D01* +X0000421139Y0000145916D01* +X0000422364Y0000147483D01* +X0000420797Y0000148707D01* +X0000421139Y0000145916D01* +X0000398003Y0000172825D02* +X0000395211Y0000172482D01* +X0000392592Y0000170744D01* +X0000391367Y0000169177D01* +X0000390314Y0000166214D01* +X0000389604Y0000160461D01* +X0000390461Y0000153483D01* +X0000392542Y0000148071D01* +X0000394280Y0000145452D01* +X0000395847Y0000144227D01* +X0000398810Y0000143175D01* +X0000401601Y0000143517D01* +X0000404221Y0000145256D01* +X0000405445Y0000146823D01* +X0000406498Y0000149785D01* +X0000407208Y0000155539D01* +X0000406351Y0000162517D01* +X0000404270Y0000167928D01* +X0000402532Y0000170548D01* +X0000400965Y0000171772D01* +X0000398003Y0000172825D01* +D12* +X0000963907Y0000346550D02* +X0000944554Y0000344173D01* +X0000955418Y0000335685D02* +X0000953042Y0000355038D01* +X0000920342Y0000331379D02* +X0000934857Y0000333161D01* +X0000927600Y0000332270D02* +X0000924481Y0000357669D01* +X0000927345Y0000354338D01* +X0000930062Y0000352216D01* +X0000932629Y0000351303D01* +X0000901500Y0000354848D02* +X0000899081Y0000354551D01* +X0000896811Y0000353044D01* +X0000895750Y0000351686D01* +X0000894837Y0000349119D01* +X0000894222Y0000344132D01* +X0000894964Y0000338084D01* +X0000896768Y0000333395D01* +X0000898274Y0000331124D01* +X0000899632Y0000330063D01* +X0000902200Y0000329151D01* +X0000904619Y0000329448D01* +X0000906889Y0000330955D01* +X0000907950Y0000332313D01* +X0000908863Y0000334880D01* +X0000909478Y0000339867D01* +X0000908736Y0000345914D01* +X0000906932Y0000350604D01* +X0000905426Y0000352874D01* +X0000904068Y0000353935D01* +X0000901500Y0000354848D01* +X0000862286Y0000324250D02* +X0000864556Y0000325757D01* +X0000865617Y0000327115D01* +X0000866530Y0000329682D01* +X0000865639Y0000336939D01* +X0000864132Y0000339210D01* +X0000862774Y0000340271D01* +X0000860207Y0000341183D01* +X0000856578Y0000340738D01* +X0000854308Y0000339231D01* +X0000853247Y0000337873D01* +X0000852334Y0000335306D01* +X0000853225Y0000328049D01* +X0000854732Y0000325778D01* +X0000856090Y0000324717D01* +X0000858657Y0000323805D01* +X0000862286Y0000324250D01* +X0000840854Y0000338807D02* +X0000842934Y0000321874D01* +X0000841151Y0000336388D02* +X0000839793Y0000337449D01* +X0000837226Y0000338362D01* +X0000833597Y0000337916D01* +X0000831327Y0000336410D01* +X0000830414Y0000333842D01* +X0000832048Y0000320537D01* +X0000798521Y0000333609D02* +X0000800601Y0000316676D01* +X0000798818Y0000331190D02* +X0000797460Y0000332251D01* +X0000794893Y0000333164D01* +X0000791264Y0000332718D01* +X0000788994Y0000331212D01* +X0000788081Y0000328644D01* +X0000789715Y0000315340D01* +X0000777620Y0000313854D02* +X0000775541Y0000330788D01* +X0000774501Y0000339254D02* +X0000775859Y0000338193D01* +X0000774798Y0000336835D01* +X0000773440Y0000337896D01* +X0000774501Y0000339254D01* +X0000774798Y0000336835D01* +X0000752560Y0000327966D02* +X0000755085Y0000307404D01* +X0000756591Y0000305134D01* +X0000757949Y0000304073D01* +X0000760517Y0000303160D01* +X0000764145Y0000303606D01* +X0000766416Y0000305112D01* +X0000754491Y0000312242D02* +X0000757058Y0000311330D01* +X0000761896Y0000311924D01* +X0000764167Y0000313430D01* +X0000765228Y0000314788D01* +X0000766140Y0000317356D01* +X0000765249Y0000324613D01* +X0000763743Y0000326884D01* +X0000762385Y0000327945D01* +X0000759817Y0000328857D01* +X0000754979Y0000328263D01* +X0000752708Y0000326756D01* +X0000742544Y0000309548D02* +X0000739425Y0000334947D01* +X0000731658Y0000308211D02* +X0000730025Y0000321516D01* +X0000730937Y0000324083D01* +X0000733208Y0000325590D01* +X0000736836Y0000326035D01* +X0000739404Y0000325123D01* +X0000740762Y0000324062D01* +X0000721113Y0000324105D02* +X0000711436Y0000322917D01* +X0000716444Y0000332126D02* +X0000719118Y0000310355D01* +X0000718205Y0000307787D01* +X0000715935Y0000306280D01* +X0000713516Y0000305983D01* +X0000686037Y0000319798D02* +X0000682068Y0000302122D01* +X0000673941Y0000318313D01* +X0000666344Y0000300192D02* +X0000664265Y0000317125D01* +X0000663226Y0000325591D02* +X0000664584Y0000324530D01* +X0000663523Y0000323172D01* +X0000662165Y0000324233D01* +X0000663226Y0000325591D01* +X0000663523Y0000323172D01* +X0000655310Y0000300065D02* +X0000653040Y0000298558D01* +X0000648202Y0000297964D01* +X0000645634Y0000298876D01* +X0000644128Y0000301147D01* +X0000643979Y0000302356D01* +X0000644892Y0000304924D01* +X0000647162Y0000306431D01* +X0000650791Y0000306876D01* +X0000653061Y0000308383D01* +X0000653974Y0000310950D01* +X0000653825Y0000312160D01* +X0000652319Y0000314430D01* +X0000649751Y0000315343D01* +X0000646123Y0000314897D01* +X0000643852Y0000313391D01* +X0000633688Y0000296182D02* +X0000631608Y0000313115D01* +X0000630569Y0000321582D02* +X0000631927Y0000320521D01* +X0000630866Y0000319163D01* +X0000629508Y0000320224D01* +X0000630569Y0000321582D01* +X0000630866Y0000319163D01* +X0000617964Y0000294251D02* +X0000620234Y0000295758D01* +X0000621295Y0000297116D01* +X0000622208Y0000299683D01* +X0000621317Y0000306940D01* +X0000619810Y0000309211D01* +X0000618452Y0000310272D01* +X0000615885Y0000311184D01* +X0000612256Y0000310739D01* +X0000609986Y0000309232D01* +X0000608925Y0000307874D01* +X0000608012Y0000305307D01* +X0000608903Y0000298050D01* +X0000610410Y0000295779D01* +X0000611768Y0000294718D01* +X0000614335Y0000293806D01* +X0000617964Y0000294251D01* +X0000596533Y0000308808D02* +X0000598612Y0000291875D01* +X0000596830Y0000306389D02* +X0000595472Y0000307450D01* +X0000592904Y0000308363D01* +X0000589276Y0000307917D01* +X0000587005Y0000306411D01* +X0000586092Y0000303843D01* +X0000587726Y0000290538D01* +D11* +X0000973239Y0000829979D02* +X0000971009Y0000855472D01* +X0000962313Y0000829023D02* +X0000961145Y0000842376D01* +X0000962147Y0000844910D01* +X0000964468Y0000846337D01* +X0000968110Y0000846655D01* +X0000970644Y0000845654D01* +X0000971965Y0000844546D01* +X0000952329Y0000845275D02* +X0000942617Y0000844425D01* +X0000947943Y0000853454D02* +X0000949855Y0000831602D01* +X0000948854Y0000829068D01* +X0000946532Y0000827642D01* +X0000944104Y0000827430D01* +X0000937761Y0000844000D02* +X0000928050Y0000843151D01* +X0000933376Y0000852179D02* +X0000935288Y0000830328D01* +X0000934286Y0000827794D01* +X0000931964Y0000826367D01* +X0000929536Y0000826155D01* +X0000919552Y0000842407D02* +X0000921782Y0000816914D01* +X0000919658Y0000841193D02* +X0000917124Y0000842195D01* +X0000912268Y0000841770D01* +X0000909946Y0000840343D01* +X0000908839Y0000839023D01* +X0000907837Y0000836489D01* +X0000908474Y0000829205D01* +X0000909901Y0000826884D01* +X0000911221Y0000825776D01* +X0000913755Y0000824774D01* +X0000918611Y0000825199D01* +X0000920932Y0000826626D01* +X0000899081Y0000824714D02* +X0000896760Y0000823287D01* +X0000891904Y0000822863D01* +X0000889370Y0000823864D01* +X0000887943Y0000826186D01* +X0000887837Y0000827400D01* +X0000888838Y0000829934D01* +X0000891160Y0000831360D01* +X0000894802Y0000831679D01* +X0000897124Y0000833105D01* +X0000898125Y0000835639D01* +X0000898019Y0000836853D01* +X0000896593Y0000839175D01* +X0000894059Y0000840177D01* +X0000890417Y0000839858D01* +X0000888095Y0000838432D01* +X0000877124Y0000824016D02* +X0000876016Y0000822696D01* +X0000877336Y0000821588D01* +X0000878444Y0000822908D01* +X0000877124Y0000824016D01* +X0000877336Y0000821588D01* +X0000875955Y0000837370D02* +X0000874848Y0000836049D01* +X0000876168Y0000834942D01* +X0000877276Y0000836262D01* +X0000875955Y0000837370D01* +X0000876168Y0000834942D01* +X0000844651Y0000845640D02* +X0000869369Y0000814775D01* +X0000817943Y0000843304D02* +X0000842662Y0000812438D01* +X0000799370Y0000831892D02* +X0000801175Y0000811255D01* +X0000802602Y0000808933D01* +X0000803922Y0000807826D01* +X0000806456Y0000806824D01* +X0000810098Y0000807143D01* +X0000812420Y0000808569D01* +X0000800750Y0000816111D02* +X0000803285Y0000815109D01* +X0000808140Y0000815534D01* +X0000810462Y0000816961D01* +X0000811570Y0000818281D01* +X0000812571Y0000820815D01* +X0000811934Y0000828099D01* +X0000810508Y0000830420D01* +X0000809188Y0000831528D01* +X0000806653Y0000832530D01* +X0000801798Y0000832105D01* +X0000799476Y0000830679D01* +X0000788717Y0000813835D02* +X0000787230Y0000830830D01* +X0000786487Y0000839328D02* +X0000787807Y0000838220D01* +X0000786699Y0000836900D01* +X0000785379Y0000838008D01* +X0000786487Y0000839328D01* +X0000786699Y0000836900D01* +X0000778732Y0000830087D02* +X0000769021Y0000829237D01* +X0000774347Y0000838266D02* +X0000776259Y0000816415D01* +X0000775257Y0000813881D01* +X0000772936Y0000812454D01* +X0000770508Y0000812242D01* +X0000762010Y0000811498D02* +X0000759780Y0000836992D01* +X0000751084Y0000810542D02* +X0000749916Y0000823896D01* +X0000750917Y0000826430D01* +X0000753239Y0000827857D01* +X0000756881Y0000828175D01* +X0000759415Y0000827174D01* +X0000760735Y0000826066D01* +X0000726532Y0000825520D02* +X0000728019Y0000808525D01* +X0000737458Y0000826476D02* +X0000738626Y0000813122D01* +X0000737624Y0000810588D01* +X0000735303Y0000809162D01* +X0000731661Y0000808843D01* +X0000729127Y0000809845D01* +X0000727807Y0000810952D01* +X0000715879Y0000807462D02* +X0000713649Y0000832956D01* +X0000714499Y0000823244D02* +X0000711965Y0000824246D01* +X0000707109Y0000823821D01* +X0000704787Y0000822394D01* +X0000703679Y0000821074D01* +X0000702678Y0000818540D01* +X0000703315Y0000811256D01* +X0000704741Y0000808935D01* +X0000706062Y0000807827D01* +X0000708596Y0000806825D01* +X0000713451Y0000807250D01* +X0000715773Y0000808676D01* +X0000692602Y0000807872D02* +X0000691494Y0000806552D01* +X0000692814Y0000805445D01* +X0000693922Y0000806765D01* +X0000692602Y0000807872D01* +X0000692814Y0000805445D01* +X0000669643Y0000804641D02* +X0000672177Y0000803639D01* +X0000677033Y0000804064D01* +X0000679354Y0000805490D01* +X0000680462Y0000806810D01* +X0000681464Y0000809345D01* +X0000680826Y0000816628D01* +X0000679400Y0000818950D01* +X0000678080Y0000820058D01* +X0000675546Y0000821059D01* +X0000670690Y0000820634D01* +X0000668368Y0000819208D01* +X0000655181Y0000802152D02* +X0000657503Y0000803578D01* +X0000658611Y0000804899D01* +X0000659612Y0000807433D01* +X0000658975Y0000814717D01* +X0000657549Y0000817038D01* +X0000656229Y0000818146D01* +X0000653694Y0000819148D01* +X0000650053Y0000818829D01* +X0000647731Y0000817403D01* +X0000646623Y0000816082D01* +X0000645622Y0000813548D01* +X0000646259Y0000806264D01* +X0000647685Y0000803943D01* +X0000649005Y0000802835D01* +X0000651539Y0000801833D01* +X0000655181Y0000802152D01* +X0000635758Y0000800453D02* +X0000634271Y0000817448D01* +X0000634483Y0000815020D02* +X0000633163Y0000816128D01* +X0000630629Y0000817130D01* +X0000626987Y0000816811D01* +X0000624666Y0000815385D01* +X0000623664Y0000812850D01* +X0000624832Y0000799497D01* +X0000623664Y0000812850D02* +X0000622238Y0000815172D01* +X0000619704Y0000816174D01* +X0000616062Y0000815855D01* +X0000613740Y0000814429D01* +X0000612738Y0000811895D01* +X0000613907Y0000798541D01* +X0000581221Y0000822593D02* +X0000605940Y0000791728D01* +X0000562920Y0000794080D02* +X0000575060Y0000795142D01* +X0000572830Y0000820636D01* +X0000550781Y0000793018D02* +X0000553103Y0000794445D01* +X0000554210Y0000795765D01* +X0000555212Y0000798299D01* +X0000554575Y0000805583D01* +X0000553148Y0000807904D01* +X0000551828Y0000809012D01* +X0000549294Y0000810014D01* +X0000545652Y0000809695D01* +X0000543330Y0000808269D01* +X0000542223Y0000806949D01* +X0000541221Y0000804414D01* +X0000541858Y0000797131D01* +X0000543285Y0000794809D01* +X0000544605Y0000793701D01* +X0000547139Y0000792700D01* +X0000550781Y0000793018D01* +X0000529870Y0000808314D02* +X0000531357Y0000791319D01* +X0000530083Y0000805886D02* +X0000528763Y0000806994D01* +X0000526229Y0000807996D01* +X0000522587Y0000807677D01* +X0000520265Y0000806251D01* +X0000519263Y0000803717D01* +X0000520432Y0000790363D01* +X0000495880Y0000805341D02* +X0000497685Y0000784703D01* +X0000499112Y0000782381D01* +X0000500432Y0000781274D01* +X0000502966Y0000780272D01* +X0000506608Y0000780591D01* +X0000508929Y0000782017D01* +X0000497260Y0000789559D02* +X0000499794Y0000788557D01* +X0000504650Y0000788982D01* +X0000506972Y0000790409D01* +X0000508080Y0000791729D01* +X0000509081Y0000794263D01* +X0000508444Y0000801547D01* +X0000507018Y0000803868D01* +X0000505697Y0000804976D01* +X0000503163Y0000805978D01* +X0000498308Y0000805553D01* +X0000495986Y0000804127D01* +X0000485227Y0000787283D02* +X0000482997Y0000812776D01* +X0000484059Y0000800637D02* +X0000469491Y0000799362D01* +X0000470659Y0000786009D02* +X0000468429Y0000811502D01* +X0000447594Y0000783991D02* +X0000446426Y0000797344D01* +X0000447427Y0000799878D01* +X0000449749Y0000801305D01* +X0000454605Y0000801729D01* +X0000457139Y0000800728D01* +X0000447488Y0000785205D02* +X0000450022Y0000784203D01* +X0000456092Y0000784734D01* +X0000458414Y0000786160D01* +X0000459415Y0000788695D01* +X0000459203Y0000791122D01* +X0000457776Y0000793444D01* +X0000455242Y0000794446D01* +X0000449172Y0000793915D01* +X0000446638Y0000794916D01* +X0000435455Y0000782928D02* +X0000433968Y0000799924D01* +X0000433224Y0000808422D02* +X0000434544Y0000807314D01* +X0000433437Y0000805994D01* +X0000432116Y0000807101D01* +X0000433224Y0000808422D01* +X0000433437Y0000805994D01* +X0000423315Y0000781866D02* +X0000421828Y0000798862D01* +X0000422253Y0000794006D02* +X0000420827Y0000796328D01* +X0000419506Y0000797435D01* +X0000416972Y0000798437D01* +X0000414544Y0000798225D01* +X0000397716Y0000780850D02* +X0000400250Y0000779848D01* +X0000405106Y0000780273D01* +X0000407427Y0000781700D01* +X0000408429Y0000784234D01* +X0000407579Y0000793946D01* +X0000406153Y0000796267D01* +X0000403619Y0000797269D01* +X0000398763Y0000796844D01* +X0000396441Y0000795418D01* +X0000395440Y0000792883D01* +X0000395652Y0000790456D01* +X0000408004Y0000789090D01* +X0000374757Y0000777618D02* +X0000372526Y0000803111D01* +X0000374650Y0000778832D02* +X0000377184Y0000777830D01* +X0000382040Y0000778255D01* +X0000384362Y0000779682D01* +X0000385470Y0000781002D01* +X0000386471Y0000783536D01* +X0000385834Y0000790820D01* +X0000384408Y0000793142D01* +X0000383087Y0000794249D01* +X0000380553Y0000795251D01* +X0000375698Y0000794826D01* +X0000373376Y0000793400D01* +X0000362617Y0000776556D02* +X0000360387Y0000802049D01* +X0000361449Y0000789910D02* +X0000346881Y0000788635D01* +X0000348049Y0000775282D02* +X0000345819Y0000800775D01* +X0000324984Y0000773264D02* +X0000323816Y0000786617D01* +X0000324817Y0000789151D01* +X0000327139Y0000790578D01* +X0000331995Y0000791002D01* +X0000334529Y0000790001D01* +X0000324878Y0000774478D02* +X0000327412Y0000773476D01* +X0000333482Y0000774007D01* +X0000335804Y0000775433D01* +X0000336805Y0000777968D01* +X0000336593Y0000780395D01* +X0000335166Y0000782717D01* +X0000332632Y0000783719D01* +X0000326562Y0000783188D01* +X0000324028Y0000784189D01* +X0000301813Y0000772460D02* +X0000304347Y0000771458D01* +X0000309203Y0000771883D01* +X0000311524Y0000773309D01* +X0000312632Y0000774629D01* +X0000313634Y0000777164D01* +X0000312996Y0000784447D01* +X0000311570Y0000786769D01* +X0000310250Y0000787877D01* +X0000307716Y0000788878D01* +X0000302860Y0000788453D01* +X0000300538Y0000787027D01* +X0000290993Y0000770290D02* +X0000288763Y0000795783D01* +X0000287716Y0000779789D02* +X0000281282Y0000769440D01* +X0000279795Y0000786436D02* +X0000290356Y0000777574D01* +X0000260538Y0000768849D02* +X0000263072Y0000767847D01* +X0000267928Y0000768272D01* +X0000270250Y0000769698D01* +X0000271251Y0000772232D01* +X0000270402Y0000781944D01* +X0000268975Y0000784266D01* +X0000266441Y0000785267D01* +X0000261585Y0000784842D01* +X0000259264Y0000783416D01* +X0000258262Y0000780882D01* +X0000258475Y0000778454D01* +X0000270826Y0000777088D01* +X0000248505Y0000766572D02* +X0000247018Y0000783568D01* +X0000247443Y0000778712D02* +X0000246016Y0000781034D01* +X0000244696Y0000782142D01* +X0000242162Y0000783143D01* +X0000239734Y0000782931D01* +X0000212177Y0000790306D02* +X0000236896Y0000759441D01* +X0000193876Y0000761793D02* +X0000206016Y0000762855D01* +X0000203786Y0000788348D01* +X0000185379Y0000761050D02* +X0000183892Y0000778045D01* +X0000183148Y0000786543D02* +X0000184469Y0000785435D01* +X0000183361Y0000784115D01* +X0000182041Y0000785223D01* +X0000183148Y0000786543D01* +X0000183361Y0000784115D01* +X0000171752Y0000776983D02* +X0000173983Y0000751490D01* +X0000171858Y0000775769D02* +X0000169324Y0000776771D01* +X0000164469Y0000776346D01* +X0000162147Y0000774919D01* +X0000161039Y0000773599D01* +X0000160037Y0000771065D01* +X0000160675Y0000763781D01* +X0000162101Y0000761460D01* +X0000163421Y0000760352D01* +X0000165955Y0000759350D01* +X0000170811Y0000759775D01* +X0000173133Y0000761202D01* +X0000146532Y0000757651D02* +X0000148854Y0000759077D01* +X0000149961Y0000760398D01* +X0000150963Y0000762932D01* +X0000150326Y0000770215D01* +X0000148899Y0000772537D01* +X0000147579Y0000773645D01* +X0000145045Y0000774647D01* +X0000141403Y0000774328D01* +X0000139082Y0000772901D01* +X0000137974Y0000771581D01* +X0000136972Y0000769047D01* +X0000137609Y0000761763D01* +X0000139036Y0000759442D01* +X0000140356Y0000758334D01* +X0000142890Y0000757332D01* +X0000146532Y0000757651D01* +X0000111631Y0000779063D02* +X0000113953Y0000780489D01* +X0000117595Y0000780808D01* +X0000121343Y0000779912D01* +X0000123983Y0000777697D01* +X0000125409Y0000775375D01* +X0000127048Y0000770625D01* +X0000127367Y0000766984D01* +X0000126578Y0000762022D01* +X0000125576Y0000759487D01* +X0000123361Y0000756847D01* +X0000119825Y0000755314D01* +X0000117397Y0000755102D01* +X0000113649Y0000755997D01* +X0000112329Y0000757105D01* +X0000111585Y0000765603D01* +X0000116441Y0000766028D01* +X0000089203Y0000769761D02* +X0000090690Y0000752765D01* +X0000100129Y0000770717D02* +X0000101297Y0000757363D01* +X0000100295Y0000754829D01* +X0000097974Y0000753403D01* +X0000094332Y0000753084D01* +X0000091798Y0000754086D01* +X0000090477Y0000755193D01* +X0000067625Y0000750748D02* +X0000066456Y0000764101D01* +X0000067458Y0000766635D01* +X0000069780Y0000768062D01* +X0000074635Y0000768486D01* +X0000077170Y0000767485D01* +X0000067518Y0000751962D02* +X0000070053Y0000750960D01* +X0000076122Y0000751491D01* +X0000078444Y0000752917D01* +X0000079446Y0000755452D01* +X0000079233Y0000757879D01* +X0000077807Y0000760201D01* +X0000075273Y0000761203D01* +X0000069203Y0000760672D01* +X0000066669Y0000761673D01* +X0000055485Y0000749685D02* +X0000053998Y0000766681D01* +X0000054423Y0000761825D02* +X0000052997Y0000764147D01* +X0000051676Y0000765255D01* +X0000049142Y0000766256D01* +X0000046714Y0000766044D01* +X0000028778Y0000747349D02* +X0000026547Y0000772842D01* +X0000028672Y0000748563D02* +X0000031206Y0000747561D01* +X0000036062Y0000747986D01* +X0000038383Y0000749412D01* +X0000039491Y0000750733D01* +X0000040493Y0000753267D01* +X0000039855Y0000760551D01* +X0000038429Y0000762872D01* +X0000037109Y0000763980D01* +X0000034575Y0000764982D01* +X0000029719Y0000764557D01* +X0000027397Y0000763130D01* +D13* +X0001121869Y0000702455D02* +X0001119994Y0000704330D01* +X0001116244Y0000706205D01* +X0001106870Y0000706205D01* +X0001103121Y0000704330D01* +X0001101246Y0000702455D01* +X0001099371Y0000698706D01* +X0001099371Y0000694956D01* +X0001101246Y0000689332D01* +X0001123743Y0000666835D01* +X0001099371Y0000666835D01* +X0001065626Y0000693082D02* +X0001065626Y0000666835D01* +X0001075000Y0000708080D02* +X0001084373Y0000679958D01* +X0001060001Y0000679958D01* +X0001050627Y0000706205D02* +X0001037504Y0000666835D01* +X0001024381Y0000706205D01* +X0001082499Y0000637307D02* +X0001101246Y0000637307D01* +X0001103121Y0000618560D01* +X0001101246Y0000620434D01* +X0001097497Y0000622309D01* +X0001088123Y0000622309D01* +X0001084373Y0000620434D01* +X0001082499Y0000618560D01* +X0001080624Y0000614810D01* +X0001080624Y0000605436D01* +X0001082499Y0000601687D01* +X0001084373Y0000599812D01* +X0001088123Y0000597937D01* +X0001097497Y0000597937D01* +X0001101246Y0000599812D01* +X0001103121Y0000601687D01* +X0001065626Y0000609186D02* +X0001046878Y0000609186D01* +X0001069375Y0000597937D02* +X0001056252Y0000637307D01* +X0001043128Y0000597937D01* +D11* +X0000388368Y0000735409D02* +X0000359289Y0000740536D01* +X0000357336Y0000729459D01* +X0000358232Y0000726445D01* +X0000359373Y0000724816D01* +X0000361898Y0000722943D01* +X0000366052Y0000722211D01* +X0000369066Y0000723107D01* +X0000370695Y0000724247D01* +X0000372568Y0000726773D01* +X0000374521Y0000737850D01* +X0000383241Y0000706330D02* +X0000363855Y0000709748D01* +X0000369394Y0000708772D02* +X0000366380Y0000707875D01* +X0000364751Y0000706735D01* +X0000362878Y0000704209D01* +X0000362390Y0000701440D01* +X0000376729Y0000677495D02* +X0000378602Y0000680020D01* +X0000379578Y0000685559D01* +X0000378682Y0000688573D01* +X0000376157Y0000690446D01* +X0000365079Y0000692399D01* +X0000362065Y0000691503D01* +X0000360192Y0000688977D01* +X0000359216Y0000683439D01* +X0000360112Y0000680425D01* +X0000362637Y0000678552D01* +X0000365407Y0000678064D01* +X0000370618Y0000691422D01* +X0000356774Y0000669591D02* +X0000374939Y0000659250D01* +X0000354333Y0000655744D01* +X0000368427Y0000630415D02* +X0000370300Y0000632940D01* +X0000371277Y0000638479D01* +X0000370381Y0000641493D01* +X0000367855Y0000643366D01* +X0000356778Y0000645319D01* +X0000353764Y0000644422D01* +X0000351891Y0000641897D01* +X0000350914Y0000636358D01* +X0000351811Y0000633345D01* +X0000354336Y0000631472D01* +X0000357105Y0000630983D01* +X0000362316Y0000644342D01* +X0000347984Y0000619742D02* +X0000367370Y0000616324D01* +X0000350754Y0000619253D02* +X0000349125Y0000618113D01* +X0000347252Y0000615588D01* +X0000346519Y0000611433D01* +X0000347416Y0000608420D01* +X0000349941Y0000606547D01* +X0000365173Y0000603861D01* +X0000344078Y0000597586D02* +X0000342125Y0000586509D01* +X0000333652Y0000595141D02* +X0000358577Y0000590747D01* +X0000361102Y0000588873D01* +X0000361999Y0000585860D01* +X0000361510Y0000583090D01* +X0000358661Y0000575026D02* +X0000359557Y0000572013D01* +X0000358580Y0000566474D01* +X0000356707Y0000563949D01* +X0000353694Y0000563052D01* +X0000352309Y0000563296D01* +X0000349784Y0000565169D01* +X0000348888Y0000568183D01* +X0000349620Y0000572337D01* +X0000348724Y0000575351D01* +X0000346198Y0000577224D01* +X0000344814Y0000577468D01* +X0000341800Y0000576572D01* +X0000339927Y0000574046D01* +X0000339195Y0000569892D01* +X0000340091Y0000566879D01* +X0000330161Y0000518658D02* +X0000349547Y0000515240D01* +X0000332358Y0000531120D02* +X0000347590Y0000528434D01* +X0000350115Y0000526561D01* +X0000351012Y0000523548D01* +X0000350279Y0000519394D01* +X0000348406Y0000516868D01* +X0000346777Y0000515728D01* +X0000327719Y0000504811D02* +X0000356798Y0000499683D01* +X0000329104Y0000504566D02* +X0000327231Y0000502041D01* +X0000326254Y0000496502D01* +X0000327150Y0000493489D01* +X0000328291Y0000491860D01* +X0000330816Y0000489987D01* +X0000339124Y0000488522D01* +X0000342138Y0000489418D01* +X0000343767Y0000490559D01* +X0000345640Y0000493084D01* +X0000346617Y0000498623D01* +X0000345720Y0000501637D01* +X0000319906Y0000460500D02* +X0000317952Y0000449422D01* +X0000309480Y0000458055D02* +X0000334405Y0000453660D01* +X0000336930Y0000451787D01* +X0000337827Y0000448773D01* +X0000337338Y0000446004D01* +X0000334897Y0000432157D02* +X0000334000Y0000435170D01* +X0000332860Y0000436799D01* +X0000330335Y0000438672D01* +X0000322026Y0000440137D01* +X0000319013Y0000439241D01* +X0000317384Y0000438100D01* +X0000315511Y0000435575D01* +X0000314778Y0000431421D01* +X0000315675Y0000428407D01* +X0000316815Y0000426778D01* +X0000319341Y0000424905D01* +X0000327649Y0000423440D01* +X0000330662Y0000424337D01* +X0000332291Y0000425477D01* +X0000334164Y0000428003D01* +X0000334897Y0000432157D01* +X0000309735Y0000386622D02* +X0000308838Y0000389635D01* +X0000307698Y0000391264D01* +X0000305172Y0000393137D01* +X0000303788Y0000393381D01* +X0000300774Y0000392485D01* +X0000299145Y0000391344D01* +X0000297272Y0000388819D01* +X0000296295Y0000383280D01* +X0000297192Y0000380267D01* +X0000298332Y0000378638D01* +X0000300858Y0000376765D01* +X0000302242Y0000376521D01* +X0000305256Y0000377417D01* +X0000306885Y0000378558D01* +X0000308758Y0000381083D01* +X0000309735Y0000386622D01* +X0000311608Y0000389147D01* +X0000313236Y0000390288D01* +X0000316250Y0000391184D01* +X0000321789Y0000390207D01* +X0000324314Y0000388334D01* +X0000325455Y0000386705D01* +X0000326351Y0000383692D01* +X0000325374Y0000378153D01* +X0000323501Y0000375628D01* +X0000321873Y0000374487D01* +X0000318859Y0000373591D01* +X0000313320Y0000374567D01* +X0000310795Y0000376440D01* +X0000309654Y0000378069D01* +X0000308758Y0000381083D01* +X0000292145Y0000359740D02* +X0000291656Y0000356971D01* +X0000292553Y0000353957D01* +X0000293693Y0000352328D01* +X0000296219Y0000350455D01* +X0000301513Y0000348094D01* +X0000308437Y0000346873D01* +X0000314220Y0000347281D01* +X0000317233Y0000348178D01* +X0000318862Y0000349318D01* +X0000320735Y0000351843D01* +X0000321224Y0000354613D01* +X0000320327Y0000357626D01* +X0000319187Y0000359255D01* +X0000316662Y0000361128D01* +X0000311367Y0000363490D01* +X0000304443Y0000364711D01* +X0000298660Y0000364302D01* +X0000295647Y0000363406D01* +X0000294018Y0000362265D01* +X0000292145Y0000359740D01* +X0000317561Y0000333842D02* +X0000284576Y0000316814D01* +X0000287750Y0000334815D02* +X0000288646Y0000331802D01* +X0000291171Y0000329929D01* +X0000294185Y0000330825D01* +X0000296058Y0000333350D01* +X0000295162Y0000336364D01* +X0000292636Y0000338237D01* +X0000289623Y0000337341D01* +X0000287750Y0000334815D01* +X0000312514Y0000313316D02* +X0000309500Y0000312419D01* +X0000306975Y0000314292D01* +X0000306079Y0000317306D01* +X0000307952Y0000319831D01* +X0000310966Y0000320727D01* +X0000313491Y0000318854D01* +X0000314387Y0000315841D01* +X0000312514Y0000313316D01* +X0000306818Y0000272915D02* +X0000305922Y0000275928D01* +X0000304781Y0000277557D01* +X0000302256Y0000279430D01* +X0000293948Y0000280895D01* +X0000290934Y0000279999D01* +X0000289305Y0000278858D01* +X0000287432Y0000276333D01* +X0000286700Y0000272179D01* +X0000287596Y0000269165D01* +X0000288737Y0000267536D01* +X0000291262Y0000265663D01* +X0000299570Y0000264198D01* +X0000302584Y0000265095D01* +X0000304213Y0000266235D01* +X0000306086Y0000268761D01* +X0000306818Y0000272915D01* +X0000284258Y0000258332D02* +X0000282305Y0000247254D01* +X0000302912Y0000250759D02* +X0000277987Y0000255154D01* +X0000274973Y0000254258D01* +X0000273100Y0000251733D01* +X0000272612Y0000248963D01* +X0000293878Y0000199525D02* +X0000278646Y0000202211D01* +X0000276120Y0000204084D01* +X0000275224Y0000207097D01* +X0000276201Y0000212636D01* +X0000278074Y0000215162D01* +X0000292493Y0000199769D02* +X0000294366Y0000202294D01* +X0000295587Y0000209218D01* +X0000294690Y0000212232D01* +X0000292165Y0000214105D01* +X0000289396Y0000214593D01* +X0000286382Y0000213697D01* +X0000284509Y0000211171D01* +X0000283288Y0000204248D01* +X0000281415Y0000201722D01* +X0000290703Y0000181524D02* +X0000289807Y0000184537D01* +X0000287282Y0000186410D01* +X0000262357Y0000190805D01* +X0000288018Y0000166292D02* +X0000287121Y0000169305D01* +X0000284596Y0000171179D01* +X0000259671Y0000175573D01* +X0000435563Y0000722090D02* +X0000436460Y0000719076D01* +X0000435483Y0000713537D01* +X0000433610Y0000711012D01* +X0000430596Y0000710116D01* +X0000429212Y0000710360D01* +X0000426686Y0000712233D01* +X0000425790Y0000715247D01* +X0000426523Y0000719401D01* +X0000425626Y0000722414D01* +X0000423101Y0000724287D01* +X0000421716Y0000724532D01* +X0000418703Y0000723635D01* +X0000416830Y0000721110D01* +X0000416097Y0000716956D01* +X0000416993Y0000713942D01* +X0000413167Y0000700339D02* +X0000442246Y0000695212D01* +X0000414552Y0000700095D02* +X0000412679Y0000697570D01* +X0000411702Y0000692031D01* +X0000412599Y0000689017D01* +X0000413739Y0000687388D01* +X0000416264Y0000685515D01* +X0000424573Y0000684050D01* +X0000427586Y0000684947D01* +X0000429215Y0000686087D01* +X0000431088Y0000688613D01* +X0000432065Y0000694151D01* +X0000431168Y0000697165D01* +X0000427182Y0000666457D02* +X0000426285Y0000669471D01* +X0000425145Y0000671100D01* +X0000422619Y0000672973D01* +X0000414311Y0000674438D01* +X0000411297Y0000673541D01* +X0000409669Y0000672401D01* +X0000407796Y0000669875D01* +X0000407063Y0000665721D01* +X0000407959Y0000662708D01* +X0000409100Y0000661079D01* +X0000411625Y0000659206D01* +X0000419934Y0000657741D01* +X0000422947Y0000658637D01* +X0000424576Y0000659778D01* +X0000426449Y0000662303D01* +X0000427182Y0000666457D01* +X0000403889Y0000647720D02* +X0000423275Y0000644302D01* +X0000406658Y0000647232D02* +X0000405029Y0000646091D01* +X0000403156Y0000643566D01* +X0000402424Y0000639412D01* +X0000403320Y0000636398D01* +X0000405846Y0000634525D01* +X0000421077Y0000631839D01* +X0000399982Y0000625565D02* +X0000398029Y0000614487D01* +X0000389557Y0000623120D02* +X0000414482Y0000618725D01* +X0000417007Y0000616852D01* +X0000417903Y0000613838D01* +X0000417415Y0000611069D01* +X0000413508Y0000588913D02* +X0000398277Y0000591599D01* +X0000395751Y0000593472D01* +X0000394855Y0000596486D01* +X0000395832Y0000602025D01* +X0000397705Y0000604550D01* +X0000412124Y0000589157D02* +X0000413997Y0000591683D01* +X0000415218Y0000598606D01* +X0000414321Y0000601620D01* +X0000411796Y0000603493D01* +X0000409026Y0000603981D01* +X0000406013Y0000603085D01* +X0000404140Y0000600560D01* +X0000402919Y0000593636D01* +X0000401046Y0000591111D01* +X0000391681Y0000578485D02* +X0000411067Y0000575066D01* +X0000394450Y0000577996D02* +X0000392821Y0000576856D01* +X0000390948Y0000574330D01* +X0000390216Y0000570176D01* +X0000391112Y0000567163D01* +X0000393637Y0000565290D01* +X0000408869Y0000562604D01* +X0000403090Y0000537923D02* +X0000404963Y0000540448D01* +X0000405939Y0000545987D01* +X0000405043Y0000549001D01* +X0000402518Y0000550874D01* +X0000391440Y0000552827D01* +X0000388426Y0000551931D01* +X0000386553Y0000549406D01* +X0000385577Y0000543867D01* +X0000386473Y0000540853D01* +X0000388998Y0000538980D01* +X0000391768Y0000538492D01* +X0000396979Y0000551851D01* +X0000401300Y0000519678D02* +X0000400404Y0000522691D01* +X0000399263Y0000524320D01* +X0000396738Y0000526193D01* +X0000388430Y0000527658D01* +X0000385416Y0000526762D01* +X0000383787Y0000525621D01* +X0000381914Y0000523096D01* +X0000381182Y0000518942D01* +X0000382078Y0000515928D01* +X0000383219Y0000514299D01* +X0000385744Y0000512426D01* +X0000394052Y0000510961D01* +X0000397066Y0000511858D01* +X0000398695Y0000512998D01* +X0000400568Y0000515524D01* +X0000401300Y0000519678D01* +X0000375810Y0000488478D02* +X0000395196Y0000485060D01* +X0000378008Y0000500941D02* +X0000393240Y0000498255D01* +X0000395765Y0000496382D01* +X0000396661Y0000493368D01* +X0000395929Y0000489214D01* +X0000394056Y0000486689D01* +X0000392427Y0000485548D01* +X0000391614Y0000472842D02* +X0000392510Y0000469828D01* +X0000391534Y0000464289D01* +X0000389661Y0000461764D01* +X0000386647Y0000460868D01* +X0000385263Y0000461112D01* +X0000382737Y0000462985D01* +X0000381841Y0000465998D01* +X0000382573Y0000470152D01* +X0000381677Y0000473166D01* +X0000379152Y0000475039D01* +X0000377767Y0000475283D01* +X0000374753Y0000474387D01* +X0000372880Y0000471862D01* +X0000372148Y0000467708D01* +X0000373044Y0000464694D01* +X0000381115Y0000413299D02* +X0000382988Y0000415824D01* +X0000383965Y0000421363D01* +X0000383068Y0000424377D01* +X0000381928Y0000426006D01* +X0000379403Y0000427879D01* +X0000371094Y0000429344D01* +X0000368081Y0000428447D01* +X0000366452Y0000427307D01* +X0000364579Y0000424781D01* +X0000363602Y0000419243D01* +X0000364499Y0000416229D01* +X0000379570Y0000396438D02* +X0000378673Y0000399452D01* +X0000377533Y0000401081D01* +X0000375008Y0000402954D01* +X0000366699Y0000404419D01* +X0000363686Y0000403522D01* +X0000362057Y0000402382D01* +X0000360184Y0000399857D01* +X0000359451Y0000395702D01* +X0000360348Y0000392689D01* +X0000361488Y0000391060D01* +X0000364014Y0000389187D01* +X0000372322Y0000387722D01* +X0000375335Y0000388618D01* +X0000376964Y0000389759D01* +X0000378837Y0000392284D01* +X0000379570Y0000396438D01* +X0000375663Y0000374283D02* +X0000356277Y0000377701D01* +X0000359047Y0000377213D02* +X0000357418Y0000376072D01* +X0000355545Y0000373547D01* +X0000354812Y0000369393D01* +X0000355709Y0000366379D01* +X0000358234Y0000364506D01* +X0000373466Y0000361820D01* +X0000358234Y0000364506D02* +X0000355220Y0000363610D01* +X0000353347Y0000361085D01* +X0000352615Y0000356930D01* +X0000353511Y0000353917D01* +X0000356037Y0000352044D01* +X0000371268Y0000349358D01* +X0000368827Y0000335511D02* +X0000339748Y0000340638D01* +X0000350825Y0000338685D02* +X0000348952Y0000336160D01* +X0000347976Y0000330621D01* +X0000348872Y0000327607D01* +X0000350013Y0000325978D01* +X0000352538Y0000324105D01* +X0000360846Y0000322640D01* +X0000363860Y0000323537D01* +X0000365489Y0000324677D01* +X0000367362Y0000327203D01* +X0000368338Y0000332742D01* +X0000367442Y0000335755D01* +X0000342604Y0000300157D02* +X0000361990Y0000296739D01* +X0000344802Y0000312620D02* +X0000360034Y0000309934D01* +X0000362559Y0000308061D01* +X0000363455Y0000305047D01* +X0000362723Y0000300893D01* +X0000360850Y0000298368D01* +X0000359221Y0000297227D01* +X0000358408Y0000284521D02* +X0000359304Y0000281507D01* +X0000358328Y0000275968D01* +X0000356455Y0000273443D01* +X0000353441Y0000272547D01* +X0000352056Y0000272791D01* +X0000349531Y0000274664D01* +X0000348635Y0000277677D01* +X0000349367Y0000281832D01* +X0000348471Y0000284845D01* +X0000345946Y0000286718D01* +X0000344561Y0000286962D01* +X0000341547Y0000286066D01* +X0000339674Y0000283541D01* +X0000338942Y0000279387D01* +X0000339838Y0000276373D01* +X0000336744Y0000266924D02* +X0000334791Y0000255847D01* +X0000326319Y0000264479D02* +X0000351244Y0000260084D01* +X0000353769Y0000258211D01* +X0000354665Y0000255198D01* +X0000354177Y0000252428D01* +X0000352468Y0000242735D02* +X0000333082Y0000246154D01* +X0000323389Y0000247863D02* +X0000325018Y0000249003D01* +X0000326158Y0000247374D01* +X0000324529Y0000246234D01* +X0000323389Y0000247863D01* +X0000326158Y0000247374D01* +X0000349294Y0000224734D02* +X0000348397Y0000227748D01* +X0000347257Y0000229376D01* +X0000344732Y0000231250D01* +X0000336423Y0000232714D01* +X0000333410Y0000231818D01* +X0000331781Y0000230678D01* +X0000329908Y0000228152D01* +X0000329175Y0000223998D01* +X0000330072Y0000220985D01* +X0000331212Y0000219356D01* +X0000333737Y0000217483D01* +X0000342046Y0000216018D01* +X0000345059Y0000216914D01* +X0000346688Y0000218055D01* +X0000348561Y0000220580D01* +X0000349294Y0000224734D01* +X0000326001Y0000205997D02* +X0000345387Y0000202579D01* +X0000328771Y0000205509D02* +X0000327142Y0000204368D01* +X0000325269Y0000201843D01* +X0000324536Y0000197689D01* +X0000325433Y0000194675D01* +X0000327958Y0000192802D01* +X0000343190Y0000190116D01* +X0000339608Y0000177898D02* +X0000340504Y0000174884D01* +X0000339527Y0000169345D01* +X0000337654Y0000166820D01* +X0000334641Y0000165924D01* +X0000333256Y0000166168D01* +X0000330731Y0000168041D01* +X0000329834Y0000171055D01* +X0000330567Y0000175209D01* +X0000329670Y0000178222D01* +X0000327145Y0000180095D01* +X0000325760Y0000180340D01* +X0000322747Y0000179443D01* +X0000320874Y0000176918D01* +X0000320141Y0000172764D01* +X0000321038Y0000169750D01* +X0001281693Y0000632188D02* +X0001265611Y0000656952D01* +X0001268843Y0000633903D01* +X0001249102Y0000646231D01* +X0001265183Y0000621467D01* +X0001242778Y0000606917D02* +X0001234354Y0000619889D01* +X0001234002Y0000623013D01* +X0001235595Y0000625724D01* +X0001240311Y0000628787D01* +X0001243436Y0000629139D01* +X0001242012Y0000608096D02* +X0001245136Y0000608449D01* +X0001251033Y0000612278D01* +X0001252625Y0000614988D01* +X0001252273Y0000618113D01* +X0001250741Y0000620471D01* +X0001248030Y0000622064D01* +X0001244906Y0000621711D01* +X0001239010Y0000617882D01* +X0001235886Y0000617530D01* +X0001209651Y0000608876D02* +X0001222670Y0000588829D01* +X0001225381Y0000587236D01* +X0001227326Y0000586823D01* +X0001230450Y0000587175D01* +X0001233988Y0000589473D01* +X0001235581Y0000592184D01* +X0001219607Y0000593546D02* +X0001222731Y0000593898D01* +X0001227448Y0000596962D01* +X0001229041Y0000599672D01* +X0001229454Y0000601617D01* +X0001229102Y0000604742D01* +X0001224507Y0000611817D01* +X0001221796Y0000613410D01* +X0001219851Y0000613823D01* +X0001216727Y0000613471D01* +X0001212010Y0000610408D01* +X0001210417Y0000607697D01* +X0001208580Y0000584709D02* +X0001197859Y0000601218D01* +X0001192498Y0000609473D02* +X0001194443Y0000609059D01* +X0001194030Y0000607114D01* +X0001192085Y0000607528D01* +X0001192498Y0000609473D01* +X0001194030Y0000607114D01* +X0001185409Y0000571338D02* +X0001188533Y0000571690D01* +X0001193250Y0000574753D01* +X0001194843Y0000577464D01* +X0001195256Y0000579409D01* +X0001194904Y0000582533D01* +X0001190309Y0000589609D01* +X0001187598Y0000591202D01* +X0001185653Y0000591615D01* +X0001182529Y0000591262D01* +X0001177812Y0000588199D01* +X0001176219Y0000585488D01* +X0001157107Y0000552958D02* +X0001155515Y0000550248D01* +X0001150798Y0000547184D01* +X0001147674Y0000546832D01* +X0001144963Y0000548425D01* +X0001144197Y0000549604D01* +X0001143845Y0000552728D01* +X0001145437Y0000555439D01* +X0001148975Y0000557736D01* +X0001150568Y0000560447D01* +X0001150215Y0000563572D01* +X0001149449Y0000564751D01* +X0001146739Y0000566343D01* +X0001143614Y0000565991D01* +X0001140077Y0000563694D01* +X0001138484Y0000560983D01* +X0001136647Y0000537995D02* +X0001125926Y0000554504D01* +X0001127457Y0000552146D02* +X0001125512Y0000552559D01* +X0001122388Y0000552207D01* +X0001118850Y0000549909D01* +X0001117258Y0000547198D01* +X0001117610Y0000544074D01* +X0001126034Y0000531102D01* +X0001117610Y0000544074D02* +X0001114899Y0000545667D01* +X0001111775Y0000545314D01* +X0001108237Y0000543017D01* +X0001106645Y0000540306D01* +X0001106997Y0000537182D01* +X0001115421Y0000524210D01* +X0001100091Y0000514255D02* +X0001101683Y0000516966D01* +X0001102097Y0000518911D01* +X0001101745Y0000522035D01* +X0001097150Y0000529110D01* +X0001094439Y0000530703D01* +X0001092494Y0000531116D01* +X0001089370Y0000530764D01* +X0001085832Y0000528467D01* +X0001084239Y0000525756D01* +X0001083826Y0000523811D01* +X0001084178Y0000520687D01* +X0001088773Y0000513611D01* +X0001091484Y0000512019D01* +X0001093429Y0000511605D01* +X0001096553Y0000511957D01* +X0001100091Y0000514255D01* +X0001081223Y0000502002D02* +X0001065141Y0000526766D01* +X0001072738Y0000509904D02* +X0001071789Y0000495876D01* +X0001061068Y0000512385D02* +X0001076628Y0000509077D01* +X0001050976Y0000484036D02* +X0001054101Y0000484389D01* +X0001058818Y0000487452D01* +X0001060410Y0000490163D01* +X0001060058Y0000493287D01* +X0001053932Y0000502721D01* +X0001051221Y0000504313D01* +X0001048096Y0000503961D01* +X0001043380Y0000500898D01* +X0001041787Y0000498187D01* +X0001042139Y0000495063D01* +X0001043671Y0000492704D01* +X0001056995Y0000498004D01* +X0001021082Y0000462946D02* +X0001010361Y0000479455D01* +X0001013424Y0000474738D02* +X0001010713Y0000476331D01* +X0001008768Y0000476744D01* +X0001005644Y0000476392D01* +X0001003286Y0000474860D01* +X0000995552Y0000448043D02* +X0000998677Y0000448396D01* +X0001003394Y0000451459D01* +X0001004986Y0000454170D01* +X0001004634Y0000457294D01* +X0000998508Y0000466728D01* +X0000995797Y0000468321D01* +X0000992672Y0000467968D01* +X0000987956Y0000464905D01* +X0000986363Y0000462194D01* +X0000986715Y0000459070D01* +X0000988247Y0000456711D01* +X0001001571Y0000462011D01* +X0000977342Y0000458013D02* +X0000967909Y0000451886D01* +X0000984526Y0000439206D02* +X0000970742Y0000460432D01* +X0000968031Y0000462025D01* +X0000964906Y0000461673D01* +X0000962548Y0000460141D01* +X0000970375Y0000430017D02* +X0000959654Y0000446526D01* +X0000954293Y0000454780D02* +X0000956238Y0000454367D01* +X0000955825Y0000452422D01* +X0000953880Y0000452835D01* +X0000954293Y0000454780D01* +X0000955825Y0000452422D01* +X0000955045Y0000420061D02* +X0000956638Y0000422772D01* +X0000956285Y0000425896D01* +X0000942501Y0000447122D01* +X0000942074Y0000411637D02* +X0000943666Y0000414348D01* +X0000943314Y0000417472D01* +X0000929530Y0000438699D01* +X0000933053Y0000407456D02* +X0000931461Y0000404745D01* +X0000926744Y0000401682D01* +X0000923619Y0000401329D01* +X0000920908Y0000402922D01* +X0000920143Y0000404101D01* +X0000919790Y0000407226D01* +X0000921383Y0000409936D01* +X0000924921Y0000412234D01* +X0000926513Y0000414945D01* +X0000926161Y0000418069D01* +X0000925395Y0000419248D01* +X0000922684Y0000420841D01* +X0000919560Y0000420488D01* +X0000916022Y0000418191D01* +X0000914430Y0000415480D01* +X0001258738Y0000559105D02* +X0001257146Y0000556395D01* +X0001252429Y0000553331D01* +X0001249304Y0000552979D01* +X0001246594Y0000554572D01* +X0001245828Y0000555751D01* +X0001245475Y0000558875D01* +X0001247068Y0000561586D01* +X0001250606Y0000563883D01* +X0001252198Y0000566594D01* +X0001251846Y0000569718D01* +X0001251080Y0000570898D01* +X0001248369Y0000572490D01* +X0001245245Y0000572138D01* +X0001241707Y0000569841D01* +X0001240115Y0000567130D01* +X0001234740Y0000541844D02* +X0001236333Y0000544555D01* +X0001236746Y0000546500D01* +X0001236394Y0000549624D01* +X0001231799Y0000556700D01* +X0001229088Y0000558292D01* +X0001227143Y0000558706D01* +X0001224019Y0000558354D01* +X0001220481Y0000556056D01* +X0001218889Y0000553345D01* +X0001218475Y0000551400D01* +X0001218828Y0000548276D01* +X0001223422Y0000541201D01* +X0001226133Y0000539608D01* +X0001228078Y0000539195D01* +X0001231202Y0000539547D01* +X0001234740Y0000541844D01* +X0001212335Y0000527294D02* +X0001213927Y0000530005D01* +X0001213575Y0000533129D01* +X0001199791Y0000554355D01* +X0001192288Y0000514275D02* +X0001176206Y0000539039D01* +X0001191522Y0000515455D02* +X0001194646Y0000515807D01* +X0001199363Y0000518870D01* +X0001200956Y0000521581D01* +X0001201369Y0000523526D01* +X0001201017Y0000526650D01* +X0001196422Y0000533726D01* +X0001193711Y0000535318D01* +X0001191766Y0000535732D01* +X0001188642Y0000535379D01* +X0001183925Y0000532316D01* +X0001182332Y0000529605D01* +X0001162041Y0000496310D02* +X0001160448Y0000493599D01* +X0001155732Y0000490535D01* +X0001152607Y0000490183D01* +X0001149896Y0000491776D01* +X0001149131Y0000492955D01* +X0001148778Y0000496079D01* +X0001150371Y0000498790D01* +X0001153909Y0000501088D01* +X0001155501Y0000503798D01* +X0001155149Y0000506923D01* +X0001154383Y0000508102D01* +X0001151672Y0000509695D01* +X0001148548Y0000509342D01* +X0001145010Y0000507045D01* +X0001143418Y0000504334D01* +X0001131381Y0000476399D02* +X0001134505Y0000476751D01* +X0001139222Y0000479814D01* +X0001140815Y0000482525D01* +X0001140463Y0000485649D01* +X0001134336Y0000495083D01* +X0001131625Y0000496676D01* +X0001128501Y0000496323D01* +X0001123784Y0000493260D01* +X0001122191Y0000490549D01* +X0001122544Y0000487425D01* +X0001124075Y0000485067D01* +X0001137399Y0000490366D01* +X0001109633Y0000484071D02* +X0001125715Y0000459307D01* +X0001110399Y0000482891D02* +X0001107275Y0000482539D01* +X0001102558Y0000479476D01* +X0001100965Y0000476765D01* +X0001100552Y0000474820D01* +X0001100904Y0000471696D01* +X0001105499Y0000464620D01* +X0001108210Y0000463028D01* +X0001110155Y0000462614D01* +X0001113279Y0000462967D01* +X0001117996Y0000466030D01* +X0001119589Y0000468741D01* +X0001087750Y0000448064D02* +X0001090874Y0000448416D01* +X0001095591Y0000451480D01* +X0001097183Y0000454190D01* +X0001096831Y0000457315D01* +X0001090705Y0000466748D01* +X0001087994Y0000468341D01* +X0001084870Y0000467989D01* +X0001080153Y0000464926D01* +X0001078560Y0000462215D01* +X0001078912Y0000459090D01* +X0001080444Y0000456732D01* +X0001093768Y0000462032D01* +X0001076723Y0000439227D02* +X0001066002Y0000455736D01* +X0001069065Y0000451019D02* +X0001066354Y0000452612D01* +X0001064409Y0000453025D01* +X0001061285Y0000452673D01* +X0001058926Y0000451141D01* +X0001050780Y0000422379D02* +X0001042356Y0000435351D01* +X0001042004Y0000438475D01* +X0001043596Y0000441186D01* +X0001048313Y0000444249D01* +X0001051437Y0000444601D01* +X0001050014Y0000423558D02* +X0001053138Y0000423911D01* +X0001059034Y0000427740D01* +X0001060627Y0000430451D01* +X0001060275Y0000433575D01* +X0001058743Y0000435933D01* +X0001056032Y0000437526D01* +X0001052908Y0000437173D01* +X0001047012Y0000433345D01* +X0001043888Y0000432992D01* +X0001031804Y0000433528D02* +X0001022370Y0000427401D01* +X0001022906Y0000439485D02* +X0001036690Y0000418259D01* +X0001037042Y0000415134D01* +X0001035450Y0000412424D01* +X0001033091Y0000410892D01* +X0001014637Y0000400584D02* +X0001017761Y0000400937D01* +X0001022478Y0000404000D01* +X0001024071Y0000406711D01* +X0001023719Y0000409835D01* +X0001017592Y0000419269D01* +X0001014881Y0000420861D01* +X0001011757Y0000420509D01* +X0001007040Y0000417446D01* +X0001005447Y0000414735D01* +X0001005800Y0000411611D01* +X0001007331Y0000409252D01* +X0001020655Y0000414552D01* +X0001000073Y0000389450D02* +X0001001666Y0000392160D01* +X0001001313Y0000395285D01* +X0000987529Y0000416511D01* +X0000982276Y0000401364D02* +X0000987101Y0000381026D01* +X0000970484Y0000393706D02* +X0000987101Y0000381026D01* +X0000993289Y0000376661D01* +X0000995234Y0000376248D01* +X0000998358Y0000376600D01* +X0001371407Y0000288994D02* +X0001366842Y0000258206D01* +X0001352022Y0000285576D01* +X0001336134Y0000254219D02* +X0001339148Y0000253323D01* +X0001344686Y0000254300D01* +X0001347212Y0000256173D01* +X0001348108Y0000259186D01* +X0001346155Y0000270264D01* +X0001344282Y0000272789D01* +X0001341268Y0000273686D01* +X0001335729Y0000272709D01* +X0001333204Y0000270836D01* +X0001332308Y0000267822D01* +X0001332796Y0000265053D01* +X0001347131Y0000264725D01* +X0001322531Y0000250393D02* +X0001319113Y0000269779D01* +X0001320089Y0000264240D02* +X0001318216Y0000266765D01* +X0001316588Y0000267906D01* +X0001313574Y0000268802D01* +X0001310805Y0000268314D01* +X0001305670Y0000248848D02* +X0001303145Y0000246975D01* +X0001297606Y0000245998D01* +X0001294593Y0000246895D01* +X0001292720Y0000249420D01* +X0001292475Y0000250804D01* +X0001293372Y0000253818D01* +X0001295897Y0000255691D01* +X0001300051Y0000256424D01* +X0001302577Y0000258297D01* +X0001303473Y0000261310D01* +X0001303229Y0000262695D01* +X0001301356Y0000265220D01* +X0001298342Y0000266117D01* +X0001294188Y0000265384D01* +X0001291663Y0000263511D01* +X0001280990Y0000243068D02* +X0001277571Y0000262454D01* +X0001275862Y0000272147D02* +X0001277491Y0000271007D01* +X0001276351Y0000269378D01* +X0001274722Y0000270518D01* +X0001275862Y0000272147D01* +X0001276351Y0000269378D01* +X0001262988Y0000239894D02* +X0001265514Y0000241767D01* +X0001266654Y0000243396D01* +X0001267551Y0000246410D01* +X0001266086Y0000254718D01* +X0001264213Y0000257243D01* +X0001262584Y0000258384D01* +X0001259570Y0000259280D01* +X0001255416Y0000258548D01* +X0001252891Y0000256675D01* +X0001251750Y0000255046D01* +X0001250854Y0000252032D01* +X0001252319Y0000243724D01* +X0001254192Y0000241199D01* +X0001255821Y0000240058D01* +X0001258834Y0000239162D01* +X0001262988Y0000239894D01* +X0001237415Y0000255373D02* +X0001240833Y0000235987D01* +X0001237903Y0000252604D02* +X0001236274Y0000253745D01* +X0001233261Y0000254641D01* +X0001229106Y0000253908D01* +X0001226581Y0000252035D01* +X0001225685Y0000249022D01* +X0001228371Y0000233790D01* +X0001177136Y0000224756D02* +X0001193753Y0000227686D01* +X0001185445Y0000226221D02* +X0001180317Y0000255300D01* +X0001183819Y0000251634D01* +X0001187077Y0000249353D01* +X0001190090Y0000248457D01* +X0001164185Y0000225328D02* +X0001163045Y0000223699D01* +X0001164674Y0000222559D01* +X0001165814Y0000224187D01* +X0001164185Y0000225328D01* +X0001164674Y0000222559D01* +X0001140160Y0000248219D02* +X0001137391Y0000247731D01* +X0001134866Y0000245858D01* +X0001133725Y0000244229D01* +X0001132829Y0000241215D01* +X0001132421Y0000235432D01* +X0001133642Y0000228509D01* +X0001136003Y0000223214D01* +X0001137876Y0000220689D01* +X0001139505Y0000219548D01* +X0001142518Y0000218652D01* +X0001145288Y0000219140D01* +X0001147813Y0000221013D01* +X0001148954Y0000222642D01* +X0001149850Y0000225656D01* +X0001150258Y0000231439D01* +X0001149037Y0000238362D01* +X0001146676Y0000243657D01* +X0001144803Y0000246182D01* +X0001143174Y0000247323D01* +X0001140160Y0000248219D01* +X0001493951Y0000229648D02* +X0001488824Y0000258727D01* +X0001490777Y0000247649D02* +X0001487764Y0000248545D01* +X0001482225Y0000247569D01* +X0001479700Y0000245696D01* +X0001478559Y0000244067D01* +X0001477663Y0000241053D01* +X0001479128Y0000232745D01* +X0001481001Y0000230220D01* +X0001482630Y0000229079D01* +X0001485643Y0000228183D01* +X0001491182Y0000229160D01* +X0001493707Y0000231033D01* +X0001466993Y0000244883D02* +X0001463488Y0000224276D01* +X0001453146Y0000242441D02* +X0001463488Y0000224276D01* +X0001467478Y0000217841D01* +X0001469107Y0000216700D01* +X0001472120Y0000215804D01* +X0001424472Y0000218824D02* +X0001420562Y0000216707D01* +X0001413638Y0000215486D01* +X0001410624Y0000216383D01* +X0001408996Y0000217523D01* +X0001407122Y0000220049D01* +X0001406634Y0000222818D01* +X0001407531Y0000225832D01* +X0001408671Y0000227461D01* +X0001411196Y0000229334D01* +X0001416491Y0000231695D01* +X0001419016Y0000233568D01* +X0001420157Y0000235197D01* +X0001421053Y0000238210D01* +X0001420565Y0000240980D01* +X0001418692Y0000243505D01* +X0001417063Y0000244646D01* +X0001414049Y0000245542D01* +X0001407126Y0000244321D01* +X0001403216Y0000242204D01* +X0001384315Y0000211744D02* +X0001387328Y0000210847D01* +X0001392867Y0000211824D01* +X0001395393Y0000213697D01* +X0001396289Y0000216711D01* +X0001394336Y0000227788D01* +X0001392463Y0000230314D01* +X0001389449Y0000231210D01* +X0001383910Y0000230233D01* +X0001381385Y0000228360D01* +X0001380489Y0000225347D01* +X0001380977Y0000222577D01* +X0001395312Y0000222250D01* +X0001370712Y0000207917D02* +X0001365585Y0000236996D01* +X0001367538Y0000225919D02* +X0001364524Y0000226815D01* +X0001358985Y0000225838D01* +X0001356460Y0000223965D01* +X0001355320Y0000222337D01* +X0001354423Y0000219323D01* +X0001355888Y0000211015D01* +X0001357761Y0000208489D01* +X0001359390Y0000207349D01* +X0001362404Y0000206452D01* +X0001367942Y0000207429D01* +X0001370468Y0000209302D01* +X0001331940Y0000201081D02* +X0001329254Y0000216313D01* +X0001330151Y0000219326D01* +X0001332676Y0000221199D01* +X0001338215Y0000222176D01* +X0001341228Y0000221280D01* +X0001331696Y0000202466D02* +X0001334709Y0000201569D01* +X0001341633Y0000202790D01* +X0001344158Y0000204663D01* +X0001345055Y0000207677D01* +X0001344566Y0000210446D01* +X0001342693Y0000212971D01* +X0001339680Y0000213868D01* +X0001332756Y0000212647D01* +X0001329742Y0000213543D01* +X0001319233Y0000200268D02* +X0001316708Y0000198395D01* +X0001311169Y0000197418D01* +X0001308156Y0000198315D01* +X0001306283Y0000200840D01* +X0001306039Y0000202225D01* +X0001306935Y0000205238D01* +X0001309460Y0000207111D01* +X0001313614Y0000207844D01* +X0001316140Y0000209717D01* +X0001317036Y0000212731D01* +X0001316792Y0000214115D01* +X0001314919Y0000216641D01* +X0001311905Y0000217537D01* +X0001307751Y0000216804D01* +X0001305226Y0000214931D01* +X0001295289Y0000214607D02* +X0001284211Y0000212654D01* +X0001289425Y0000223567D02* +X0001293820Y0000198643D01* +X0001292924Y0000195629D01* +X0001290399Y0000193756D01* +X0001287629Y0000193268D01* +X0001277936Y0000191559D02* +X0001274518Y0000210945D01* +X0001272809Y0000220638D02* +X0001274438Y0000219497D01* +X0001273297Y0000217868D01* +X0001271668Y0000219009D01* +X0001272809Y0000220638D01* +X0001273297Y0000217868D01* +X0001251627Y0000186919D02* +X0001248941Y0000202151D01* +X0001249837Y0000205165D01* +X0001252363Y0000207038D01* +X0001257901Y0000208015D01* +X0001260915Y0000207118D01* +X0001251382Y0000188304D02* +X0001254396Y0000187408D01* +X0001261320Y0000188629D01* +X0001263845Y0000190502D01* +X0001264741Y0000193515D01* +X0001264253Y0000196285D01* +X0001262380Y0000198810D01* +X0001259366Y0000199706D01* +X0001252443Y0000198485D01* +X0001249429Y0000199382D01* +X0001234361Y0000203864D02* +X0001237780Y0000184478D01* +X0001234850Y0000201094D02* +X0001233221Y0000202235D01* +X0001230207Y0000203131D01* +X0001226053Y0000202399D01* +X0001223528Y0000200526D01* +X0001222631Y0000197512D01* +X0001225317Y0000182280D01* +X0001190455Y0000177561D02* +X0001186545Y0000175444D01* +X0001179622Y0000174223D01* +X0001176608Y0000175119D01* +X0001174979Y0000176260D01* +X0001173106Y0000178785D01* +X0001172618Y0000181555D01* +X0001173514Y0000184568D01* +X0001174655Y0000186197D01* +X0001177180Y0000188070D01* +X0001182475Y0000190432D01* +X0001185000Y0000192305D01* +X0001186140Y0000193933D01* +X0001187037Y0000196947D01* +X0001186549Y0000199716D01* +X0001184675Y0000202242D01* +X0001183047Y0000203382D01* +X0001180033Y0000204279D01* +X0001173109Y0000203058D01* +X0001169199Y0000200941D01* +X0001148914Y0000170236D02* +X0001151927Y0000169340D01* +X0001157466Y0000170316D01* +X0001159991Y0000172190D01* +X0001161132Y0000173818D01* +X0001162028Y0000176832D01* +X0001160563Y0000185140D01* +X0001158690Y0000187666D01* +X0001157062Y0000188806D01* +X0001154048Y0000189702D01* +X0001148509Y0000188726D01* +X0001145984Y0000186853D01* +X0001136696Y0000166654D02* +X0001131568Y0000195733D01* +X0001124233Y0000164457D02* +X0001121547Y0000179688D01* +X0001122444Y0000182702D01* +X0001124969Y0000184575D01* +X0001129123Y0000185307D01* +X0001132137Y0000184411D01* +X0001133766Y0000183271D01* +X0001094505Y0000179203D02* +X0001097924Y0000159817D01* +X0001106968Y0000181401D02* +X0001109654Y0000166169D01* +X0001108757Y0000163156D01* +X0001106232Y0000161282D01* +X0001102078Y0000160550D01* +X0001099064Y0000161446D01* +X0001097435Y0000162587D01* +X0001084076Y0000157376D02* +X0001080658Y0000176762D01* +X0001081146Y0000173992D02* +X0001079518Y0000175133D01* +X0001076504Y0000176029D01* +X0001072350Y0000175297D01* +X0001069825Y0000173424D01* +X0001068928Y0000170410D01* +X0001071614Y0000155178D01* +X0001068928Y0000170410D02* +X0001067055Y0000172935D01* +X0001064042Y0000173832D01* +X0001059887Y0000173099D01* +X0001057362Y0000171226D01* +X0001056466Y0000168213D01* +X0001059152Y0000152981D01* +X0001045305Y0000150539D02* +X0001040177Y0000179618D01* +X0001042130Y0000168541D02* +X0001039117Y0000169437D01* +X0001033578Y0000168460D01* +X0001031053Y0000166587D01* +X0001029912Y0000164958D01* +X0001029016Y0000161945D01* +X0001030481Y0000153637D01* +X0001032354Y0000151111D01* +X0001033983Y0000149971D01* +X0001036996Y0000149074D01* +X0001042535Y0000150051D01* +X0001045060Y0000151924D01* +X0001306246Y0000172707D02* +X0001304617Y0000173847D01* +X0001301604Y0000174744D01* +X0001294680Y0000173523D01* +X0001292155Y0000171650D01* +X0001291014Y0000170021D01* +X0001290118Y0000167007D01* +X0001290606Y0000164238D01* +X0001292724Y0000160328D01* +X0001312270Y0000146641D01* +X0001294269Y0000143467D01* +X0001271140Y0000169372D02* +X0001268371Y0000168884D01* +X0001265845Y0000167011D01* +X0001264705Y0000165382D01* +X0001263808Y0000162368D01* +X0001263400Y0000156585D01* +X0001264621Y0000149662D01* +X0001266983Y0000144367D01* +X0001268856Y0000141842D01* +X0001270484Y0000140701D01* +X0001273498Y0000139805D01* +X0001276267Y0000140293D01* +X0001278793Y0000142166D01* +X0001279933Y0000143795D01* +X0001280830Y0000146809D01* +X0001281238Y0000152592D01* +X0001280017Y0000159515D01* +X0001277656Y0000164810D01* +X0001275782Y0000167335D01* +X0001274154Y0000168476D01* +X0001271140Y0000169372D01* +X0001238880Y0000133701D02* +X0001255497Y0000136631D01* +X0001247188Y0000135166D02* +X0001242061Y0000164245D01* +X0001245563Y0000160579D01* +X0001248821Y0000158298D01* +X0001251834Y0000157401D01* +X0001208828Y0000158385D02* +X0001214367Y0000159361D01* +X0001217380Y0000158465D01* +X0001219009Y0000157324D01* +X0001222511Y0000153659D01* +X0001224873Y0000148364D01* +X0001226826Y0000137286D01* +X0001225929Y0000134273D01* +X0001224789Y0000132644D01* +X0001222264Y0000130771D01* +X0001216725Y0000129794D01* +X0001213711Y0000130691D01* +X0001212082Y0000131831D01* +X0001210209Y0000134356D01* +X0001208988Y0000141280D01* +X0001209885Y0000144293D01* +X0001211025Y0000145922D01* +X0001213551Y0000147795D01* +X0001219090Y0000148772D01* +X0001222103Y0000147876D01* +X0001223732Y0000146735D01* +X0001225605Y0000144210D01* +D14* +X0001452474Y0000773481D02* +X0001480596Y0000773481D01* +X0001480596Y0000832536D01* +X0001432789Y0000773481D02* +X0001432789Y0000812851D01* +X0001432789Y0000832536D02* +X0001435601Y0000829724D01* +X0001432789Y0000826912D01* +X0001429977Y0000829724D01* +X0001432789Y0000832536D01* +X0001432789Y0000826912D01* +X0001404668Y0000773481D02* +X0001404668Y0000832536D01* +X0001382170Y0000832536D01* +X0001376546Y0000829724D01* +X0001373734Y0000826912D01* +X0001370922Y0000821287D01* +X0001370922Y0000812851D01* +X0001373734Y0000807227D01* +X0001376546Y0000804415D01* +X0001382170Y0000801602D01* +X0001404668Y0000801602D01* +X0001337176Y0000773481D02* +X0001342800Y0000776293D01* +X0001345613Y0000779105D01* +X0001348425Y0000784730D01* +X0001348425Y0000801602D01* +X0001345613Y0000807227D01* +X0001342800Y0000810039D01* +X0001337176Y0000812851D01* +X0001328740Y0000812851D01* +X0001323115Y0000810039D01* +X0001320303Y0000807227D01* +X0001317491Y0000801602D01* +X0001317491Y0000784730D01* +X0001320303Y0000779105D01* +X0001323115Y0000776293D01* +X0001328740Y0000773481D01* +X0001337176Y0000773481D01* +X0001216254Y0000829724D02* +X0001221878Y0000832536D01* +X0001230314Y0000832536D01* +X0001238751Y0000829724D01* +X0001244375Y0000824100D01* +X0001247187Y0000818475D01* +X0001250000Y0000807227D01* +X0001250000Y0000798790D01* +X0001247187Y0000787542D01* +X0001244375Y0000781917D01* +X0001238751Y0000776293D01* +X0001230314Y0000773481D01* +X0001224690Y0000773481D01* +X0001216254Y0000776293D01* +X0001213442Y0000779105D01* +X0001213442Y0000798790D01* +X0001224690Y0000798790D01* +X0001162823Y0000812851D02* +X0001162823Y0000773481D01* +X0001188132Y0000812851D02* +X0001188132Y0000781917D01* +X0001185320Y0000776293D01* +X0001179696Y0000773481D01* +X0001171259Y0000773481D01* +X0001165635Y0000776293D01* +X0001162823Y0000779105D01* +X0001109392Y0000773481D02* +X0001109392Y0000804415D01* +X0001112204Y0000810039D01* +X0001117828Y0000812851D01* +X0001129077Y0000812851D01* +X0001134701Y0000810039D01* +X0001109392Y0000776293D02* +X0001115016Y0000773481D01* +X0001129077Y0000773481D01* +X0001134701Y0000776293D01* +X0001137514Y0000781917D01* +X0001137514Y0000787542D01* +X0001134701Y0000793166D01* +X0001129077Y0000795978D01* +X0001115016Y0000795978D01* +X0001109392Y0000798790D01* +X0001081271Y0000773481D02* +X0001081271Y0000812851D01* +X0001081271Y0000801602D02* +X0001078458Y0000807227D01* +X0001075646Y0000810039D01* +X0001070022Y0000812851D01* +X0001064398Y0000812851D01* +X0001019403Y0000773481D02* +X0001019403Y0000832536D01* +X0001019403Y0000776293D02* +X0001025028Y0000773481D01* +X0001036276Y0000773481D01* +X0001041900Y0000776293D01* +X0001044713Y0000779105D01* +X0001047525Y0000784730D01* +X0001047525Y0000801602D01* +X0001044713Y0000807227D01* +X0001041900Y0000810039D01* +X0001036276Y0000812851D01* +X0001025028Y0000812851D01* +X0001019403Y0000810039D01* +D15* +G36* +X0000772699Y0000387296D02* +X0000772699Y0000386296D01* +X0000772900Y0000385396D01* +X0000773100Y0000384696D01* +X0000773600Y0000383896D01* +X0000774200Y0000383196D01* +X0000774399Y0000382996D01* +X0000775199Y0000382296D01* +X0000776100Y0000381696D01* +X0000777200Y0000381096D01* +X0000778199Y0000380796D01* +X0000778199Y0000386096D01* +X0000778399Y0000386596D01* +X0000778699Y0000386896D01* +X0000779200Y0000387196D01* +X0000779400Y0000387296D01* +X0000779700Y0000387296D01* +X0000780200Y0000387396D01* +X0000780899Y0000387396D01* +X0000781800Y0000387396D01* +X0000782900Y0000387496D01* +X0000783399Y0000387496D01* +X0000784199Y0000387496D01* +X0000784900Y0000387496D01* +X0000785600Y0000387596D01* +X0000786099Y0000387596D01* +X0000786599Y0000387596D01* +X0000786899Y0000387596D01* +X0000787000Y0000387696D01* +X0000787100Y0000387696D01* +X0000787300Y0000387596D01* +X0000787499Y0000387396D01* +X0000787699Y0000387196D01* +X0000788200Y0000386696D01* +X0000788499Y0000386196D01* +X0000788499Y0000385696D01* +X0000788400Y0000385196D01* +X0000788200Y0000384696D01* +X0000787699Y0000384396D01* +X0000787100Y0000383996D01* +X0000786299Y0000383796D01* +X0000785400Y0000383596D01* +X0000785200Y0000383596D01* +X0000784699Y0000383496D01* +X0000784000Y0000383496D01* +X0000783299Y0000383496D01* +X0000782600Y0000383496D01* +X0000781900Y0000383596D01* +X0000781300Y0000383696D01* +X0000781100Y0000383696D01* +X0000780299Y0000383896D01* +X0000779600Y0000384196D01* +X0000779100Y0000384596D01* +X0000778600Y0000384996D01* +X0000778300Y0000385396D01* +X0000778199Y0000385596D01* +X0000778199Y0000386096D01* +X0000778199Y0000380796D01* +X0000778399Y0000380696D01* +X0000779799Y0000380296D01* +X0000780800Y0000380096D01* +X0000781499Y0000379996D01* +X0000782200Y0000379896D01* +X0000783099Y0000379896D01* +X0000784000Y0000379896D01* +X0000784900Y0000379896D01* +X0000785700Y0000379896D01* +X0000786399Y0000379896D01* +X0000786500Y0000379896D01* +X0000787999Y0000380096D01* +X0000789200Y0000380396D01* +X0000790300Y0000380796D01* +X0000791200Y0000381296D01* +X0000792000Y0000381896D01* +X0000792599Y0000382596D01* +X0000793000Y0000383296D01* +X0000793100Y0000383396D01* +X0000793199Y0000384096D01* +X0000793300Y0000384896D01* +X0000793199Y0000385596D01* +X0000793100Y0000386196D01* +X0000792800Y0000386696D01* +X0000792399Y0000387196D01* +X0000791799Y0000387796D01* +X0000791299Y0000388196D01* +X0000790999Y0000388396D01* +X0000790699Y0000388596D01* +X0000790399Y0000388796D01* +X0000790300Y0000388896D01* +X0000790300Y0000388896D01* +X0000790399Y0000388996D01* +X0000790600Y0000389196D01* +X0000790800Y0000389396D01* +X0000791400Y0000389996D01* +X0000791799Y0000390696D01* +X0000792099Y0000391396D01* +X0000792200Y0000392196D01* +X0000792200Y0000392996D01* +X0000792000Y0000393596D01* +X0000791799Y0000393996D01* +X0000791400Y0000394596D01* +X0000790999Y0000395096D01* +X0000790600Y0000395496D01* +X0000790399Y0000395596D01* +X0000790199Y0000395796D01* +X0000790000Y0000395996D01* +X0000789899Y0000396096D01* +X0000790000Y0000396196D01* +X0000790199Y0000396396D01* +X0000790399Y0000396596D01* +X0000790600Y0000396796D01* +X0000791299Y0000397596D01* +X0000791799Y0000398396D01* +X0000792200Y0000399396D01* +X0000792399Y0000400396D01* +X0000792399Y0000400896D01* +X0000792399Y0000402096D01* +X0000792200Y0000403196D01* +X0000791799Y0000404296D01* +X0000791299Y0000405196D01* +X0000790600Y0000405996D01* +X0000789700Y0000406696D01* +X0000788700Y0000407296D01* +X0000787600Y0000407796D01* +X0000786299Y0000408196D01* +X0000786099Y0000408196D01* +X0000785499Y0000408296D01* +X0000784900Y0000408396D01* +X0000784100Y0000408396D01* +X0000783399Y0000408396D01* +X0000782700Y0000408296D01* +X0000782100Y0000408296D01* +X0000781900Y0000408196D01* +X0000781699Y0000408196D01* +X0000781499Y0000408096D01* +X0000781199Y0000408096D01* +X0000780899Y0000408096D01* +X0000780399Y0000408096D01* +X0000779799Y0000408096D01* +X0000779100Y0000407996D01* +X0000778199Y0000407996D01* +X0000777200Y0000407996D01* +X0000777000Y0000407996D01* +X0000772800Y0000407996D01* +X0000772800Y0000405796D01* +X0000772800Y0000403696D01* +X0000774800Y0000403696D01* +X0000775399Y0000403696D01* +X0000775900Y0000403596D01* +X0000776299Y0000403596D01* +X0000776600Y0000403596D01* +X0000776700Y0000403596D01* +X0000776700Y0000403596D01* +X0000776400Y0000402996D01* +X0000776200Y0000402596D01* +X0000776100Y0000402296D01* +X0000775999Y0000401996D01* +X0000775999Y0000401696D01* +X0000775900Y0000401296D01* +X0000775900Y0000401196D01* +X0000775999Y0000399996D01* +X0000776200Y0000398996D01* +X0000776600Y0000397996D01* +X0000777099Y0000397196D01* +X0000777800Y0000396396D01* +X0000778699Y0000395796D01* +X0000779700Y0000395296D01* +X0000780899Y0000394896D01* +X0000781000Y0000394796D01* +X0000781100Y0000394796D01* +X0000781100Y0000401196D01* +X0000781100Y0000401196D01* +X0000781100Y0000401896D01* +X0000781199Y0000402396D01* +X0000781300Y0000402896D01* +X0000781600Y0000403196D01* +X0000781900Y0000403596D01* +X0000782499Y0000404096D01* +X0000783099Y0000404296D01* +X0000783899Y0000404496D01* +X0000784000Y0000404496D01* +X0000784800Y0000404396D01* +X0000785400Y0000404196D01* +X0000785900Y0000403796D01* +X0000786200Y0000403596D01* +X0000786599Y0000403096D01* +X0000786800Y0000402596D01* +X0000786899Y0000401996D01* +X0000787000Y0000401196D01* +X0000786899Y0000400396D01* +X0000786700Y0000399596D01* +X0000786299Y0000398996D01* +X0000785900Y0000398596D01* +X0000785200Y0000398196D01* +X0000785100Y0000398196D01* +X0000784699Y0000398096D01* +X0000784300Y0000398096D01* +X0000783700Y0000398096D01* +X0000783200Y0000398096D01* +X0000782799Y0000398196D01* +X0000782700Y0000398296D01* +X0000782100Y0000398696D01* +X0000781600Y0000399196D01* +X0000781399Y0000399596D01* +X0000781199Y0000399896D01* +X0000781199Y0000400096D01* +X0000781100Y0000400396D01* +X0000781100Y0000400696D01* +X0000781100Y0000401196D01* +X0000781100Y0000394796D01* +X0000781800Y0000394696D01* +X0000782700Y0000394596D01* +X0000783599Y0000394496D01* +X0000784499Y0000394496D01* +X0000785299Y0000394596D01* +X0000785900Y0000394696D01* +X0000786800Y0000394796D01* +X0000787100Y0000394596D01* +X0000787399Y0000394196D01* +X0000787600Y0000393796D01* +X0000787600Y0000393296D01* +X0000787499Y0000392896D01* +X0000787300Y0000392596D01* +X0000787100Y0000392396D01* +X0000786899Y0000392296D01* +X0000786700Y0000392196D01* +X0000786500Y0000392196D01* +X0000786200Y0000392096D01* +X0000785799Y0000392096D01* +X0000785299Y0000391996D01* +X0000784699Y0000391996D01* +X0000783899Y0000391996D01* +X0000783000Y0000391896D01* +X0000782299Y0000391896D01* +X0000781399Y0000391896D01* +X0000780599Y0000391896D01* +X0000779799Y0000391896D01* +X0000779100Y0000391796D01* +X0000778499Y0000391796D01* +X0000778100Y0000391796D01* +X0000777800Y0000391696D01* +X0000777800Y0000391696D01* +X0000776600Y0000391496D01* +X0000775600Y0000391196D01* +X0000774700Y0000390696D01* +X0000774000Y0000390196D01* +X0000773400Y0000389596D01* +X0000772999Y0000388996D01* +X0000772900Y0000388696D01* +X0000772800Y0000388396D01* +X0000772699Y0000388096D01* +X0000772699Y0000387796D01* +X0000772699Y0000387296D01* +X0000772699Y0000387296D01* +X0000772699Y0000387296D01* +X0000772699Y0000387296D01* +G37* +X0000772699Y0000387296D02* +X0000772699Y0000386296D01* +X0000772900Y0000385396D01* +X0000773100Y0000384696D01* +X0000773600Y0000383896D01* +X0000774200Y0000383196D01* +X0000774399Y0000382996D01* +X0000775199Y0000382296D01* +X0000776100Y0000381696D01* +X0000777200Y0000381096D01* +X0000778199Y0000380796D01* +X0000778199Y0000386096D01* +X0000778399Y0000386596D01* +X0000778699Y0000386896D01* +X0000779200Y0000387196D01* +X0000779400Y0000387296D01* +X0000779700Y0000387296D01* +X0000780200Y0000387396D01* +X0000780899Y0000387396D01* +X0000781800Y0000387396D01* +X0000782900Y0000387496D01* +X0000783399Y0000387496D01* +X0000784199Y0000387496D01* +X0000784900Y0000387496D01* +X0000785600Y0000387596D01* +X0000786099Y0000387596D01* +X0000786599Y0000387596D01* +X0000786899Y0000387596D01* +X0000787000Y0000387696D01* +X0000787100Y0000387696D01* +X0000787300Y0000387596D01* +X0000787499Y0000387396D01* +X0000787699Y0000387196D01* +X0000788200Y0000386696D01* +X0000788499Y0000386196D01* +X0000788499Y0000385696D01* +X0000788400Y0000385196D01* +X0000788200Y0000384696D01* +X0000787699Y0000384396D01* +X0000787100Y0000383996D01* +X0000786299Y0000383796D01* +X0000785400Y0000383596D01* +X0000785200Y0000383596D01* +X0000784699Y0000383496D01* +X0000784000Y0000383496D01* +X0000783299Y0000383496D01* +X0000782600Y0000383496D01* +X0000781900Y0000383596D01* +X0000781300Y0000383696D01* +X0000781100Y0000383696D01* +X0000780299Y0000383896D01* +X0000779600Y0000384196D01* +X0000779100Y0000384596D01* +X0000778600Y0000384996D01* +X0000778300Y0000385396D01* +X0000778199Y0000385596D01* +X0000778199Y0000386096D01* +X0000778199Y0000380796D01* +X0000778399Y0000380696D01* +X0000779799Y0000380296D01* +X0000780800Y0000380096D01* +X0000781499Y0000379996D01* +X0000782200Y0000379896D01* +X0000783099Y0000379896D01* +X0000784000Y0000379896D01* +X0000784900Y0000379896D01* +X0000785700Y0000379896D01* +X0000786399Y0000379896D01* +X0000786500Y0000379896D01* +X0000787999Y0000380096D01* +X0000789200Y0000380396D01* +X0000790300Y0000380796D01* +X0000791200Y0000381296D01* +X0000792000Y0000381896D01* +X0000792599Y0000382596D01* +X0000793000Y0000383296D01* +X0000793100Y0000383396D01* +X0000793199Y0000384096D01* +X0000793300Y0000384896D01* +X0000793199Y0000385596D01* +X0000793100Y0000386196D01* +X0000792800Y0000386696D01* +X0000792399Y0000387196D01* +X0000791799Y0000387796D01* +X0000791299Y0000388196D01* +X0000790999Y0000388396D01* +X0000790699Y0000388596D01* +X0000790399Y0000388796D01* +X0000790300Y0000388896D01* +X0000790300Y0000388896D01* +X0000790399Y0000388996D01* +X0000790600Y0000389196D01* +X0000790800Y0000389396D01* +X0000791400Y0000389996D01* +X0000791799Y0000390696D01* +X0000792099Y0000391396D01* +X0000792200Y0000392196D01* +X0000792200Y0000392996D01* +X0000792000Y0000393596D01* +X0000791799Y0000393996D01* +X0000791400Y0000394596D01* +X0000790999Y0000395096D01* +X0000790600Y0000395496D01* +X0000790399Y0000395596D01* +X0000790199Y0000395796D01* +X0000790000Y0000395996D01* +X0000789899Y0000396096D01* +X0000790000Y0000396196D01* +X0000790199Y0000396396D01* +X0000790399Y0000396596D01* +X0000790600Y0000396796D01* +X0000791299Y0000397596D01* +X0000791799Y0000398396D01* +X0000792200Y0000399396D01* +X0000792399Y0000400396D01* +X0000792399Y0000400896D01* +X0000792399Y0000402096D01* +X0000792200Y0000403196D01* +X0000791799Y0000404296D01* +X0000791299Y0000405196D01* +X0000790600Y0000405996D01* +X0000789700Y0000406696D01* +X0000788700Y0000407296D01* +X0000787600Y0000407796D01* +X0000786299Y0000408196D01* +X0000786099Y0000408196D01* +X0000785499Y0000408296D01* +X0000784900Y0000408396D01* +X0000784100Y0000408396D01* +X0000783399Y0000408396D01* +X0000782700Y0000408296D01* +X0000782100Y0000408296D01* +X0000781900Y0000408196D01* +X0000781699Y0000408196D01* +X0000781499Y0000408096D01* +X0000781199Y0000408096D01* +X0000780899Y0000408096D01* +X0000780399Y0000408096D01* +X0000779799Y0000408096D01* +X0000779100Y0000407996D01* +X0000778199Y0000407996D01* +X0000777200Y0000407996D01* +X0000777000Y0000407996D01* +X0000772800Y0000407996D01* +X0000772800Y0000405796D01* +X0000772800Y0000403696D01* +X0000774800Y0000403696D01* +X0000775399Y0000403696D01* +X0000775900Y0000403596D01* +X0000776299Y0000403596D01* +X0000776600Y0000403596D01* +X0000776700Y0000403596D01* +X0000776700Y0000403596D01* +X0000776400Y0000402996D01* +X0000776200Y0000402596D01* +X0000776100Y0000402296D01* +X0000775999Y0000401996D01* +X0000775999Y0000401696D01* +X0000775900Y0000401296D01* +X0000775900Y0000401196D01* +X0000775999Y0000399996D01* +X0000776200Y0000398996D01* +X0000776600Y0000397996D01* +X0000777099Y0000397196D01* +X0000777800Y0000396396D01* +X0000778699Y0000395796D01* +X0000779700Y0000395296D01* +X0000780899Y0000394896D01* +X0000781000Y0000394796D01* +X0000781100Y0000394796D01* +X0000781100Y0000401196D01* +X0000781100Y0000401196D01* +X0000781100Y0000401896D01* +X0000781199Y0000402396D01* +X0000781300Y0000402896D01* +X0000781600Y0000403196D01* +X0000781900Y0000403596D01* +X0000782499Y0000404096D01* +X0000783099Y0000404296D01* +X0000783899Y0000404496D01* +X0000784000Y0000404496D01* +X0000784800Y0000404396D01* +X0000785400Y0000404196D01* +X0000785900Y0000403796D01* +X0000786200Y0000403596D01* +X0000786599Y0000403096D01* +X0000786800Y0000402596D01* +X0000786899Y0000401996D01* +X0000787000Y0000401196D01* +X0000786899Y0000400396D01* +X0000786700Y0000399596D01* +X0000786299Y0000398996D01* +X0000785900Y0000398596D01* +X0000785200Y0000398196D01* +X0000785100Y0000398196D01* +X0000784699Y0000398096D01* +X0000784300Y0000398096D01* +X0000783700Y0000398096D01* +X0000783200Y0000398096D01* +X0000782799Y0000398196D01* +X0000782700Y0000398296D01* +X0000782100Y0000398696D01* +X0000781600Y0000399196D01* +X0000781399Y0000399596D01* +X0000781199Y0000399896D01* +X0000781199Y0000400096D01* +X0000781100Y0000400396D01* +X0000781100Y0000400696D01* +X0000781100Y0000401196D01* +X0000781100Y0000394796D01* +X0000781800Y0000394696D01* +X0000782700Y0000394596D01* +X0000783599Y0000394496D01* +X0000784499Y0000394496D01* +X0000785299Y0000394596D01* +X0000785900Y0000394696D01* +X0000786800Y0000394796D01* +X0000787100Y0000394596D01* +X0000787399Y0000394196D01* +X0000787600Y0000393796D01* +X0000787600Y0000393296D01* +X0000787499Y0000392896D01* +X0000787300Y0000392596D01* +X0000787100Y0000392396D01* +X0000786899Y0000392296D01* +X0000786700Y0000392196D01* +X0000786500Y0000392196D01* +X0000786200Y0000392096D01* +X0000785799Y0000392096D01* +X0000785299Y0000391996D01* +X0000784699Y0000391996D01* +X0000783899Y0000391996D01* +X0000783000Y0000391896D01* +X0000782299Y0000391896D01* +X0000781399Y0000391896D01* +X0000780599Y0000391896D01* +X0000779799Y0000391896D01* +X0000779100Y0000391796D01* +X0000778499Y0000391796D01* +X0000778100Y0000391796D01* +X0000777800Y0000391696D01* +X0000777800Y0000391696D01* +X0000776600Y0000391496D01* +X0000775600Y0000391196D01* +X0000774700Y0000390696D01* +X0000774000Y0000390196D01* +X0000773400Y0000389596D01* +X0000772999Y0000388996D01* +X0000772900Y0000388696D01* +X0000772800Y0000388396D01* +X0000772699Y0000388096D01* +X0000772699Y0000387796D01* +X0000772699Y0000387296D01* +X0000772699Y0000387296D01* +X0000772699Y0000387296D01* +G36* +X0000821199Y0000397996D02* +X0000821199Y0000397396D01* +X0000821199Y0000396796D01* +X0000821199Y0000396396D01* +X0000821299Y0000396096D01* +X0000821299Y0000395796D01* +X0000821400Y0000395396D01* +X0000821499Y0000395096D01* +X0000821900Y0000393896D01* +X0000822399Y0000392696D01* +X0000823100Y0000391696D01* +X0000823900Y0000390796D01* +X0000824600Y0000390096D01* +X0000825299Y0000389596D01* +X0000826100Y0000389096D01* +X0000826800Y0000388696D01* +X0000827199Y0000388596D01* +X0000827199Y0000398396D01* +X0000827199Y0000399096D01* +X0000827199Y0000399696D01* +X0000827299Y0000399896D01* +X0000827499Y0000400796D01* +X0000827900Y0000401596D01* +X0000828299Y0000402396D01* +X0000828800Y0000402896D01* +X0000829399Y0000403296D01* +X0000829500Y0000403396D01* +X0000829800Y0000403496D01* +X0000829999Y0000403496D01* +X0000830299Y0000403596D01* +X0000830700Y0000403596D01* +X0000831200Y0000403596D01* +X0000831700Y0000403596D01* +X0000832100Y0000403596D01* +X0000832300Y0000403496D01* +X0000832600Y0000403496D01* +X0000832800Y0000403396D01* +X0000832900Y0000403396D01* +X0000833499Y0000402896D01* +X0000834099Y0000402396D01* +X0000834500Y0000401596D01* +X0000834899Y0000400796D01* +X0000835000Y0000400496D01* +X0000835100Y0000399996D01* +X0000835100Y0000399396D01* +X0000835199Y0000398696D01* +X0000835199Y0000397996D01* +X0000835199Y0000397396D01* +X0000835199Y0000396796D01* +X0000835100Y0000396596D01* +X0000834899Y0000395496D01* +X0000834599Y0000394596D01* +X0000834099Y0000393896D01* +X0000833600Y0000393296D01* +X0000832900Y0000392896D01* +X0000832600Y0000392696D01* +X0000832100Y0000392596D01* +X0000831500Y0000392496D01* +X0000830799Y0000392496D01* +X0000830199Y0000392596D01* +X0000829699Y0000392696D01* +X0000829100Y0000393096D01* +X0000828500Y0000393596D01* +X0000828000Y0000394196D01* +X0000827600Y0000394996D01* +X0000827400Y0000395696D01* +X0000827299Y0000396196D01* +X0000827199Y0000396896D01* +X0000827199Y0000397596D01* +X0000827199Y0000398396D01* +X0000827199Y0000388596D01* +X0000827700Y0000388296D01* +X0000828599Y0000388096D01* +X0000829699Y0000387896D01* +X0000830100Y0000387796D01* +X0000830600Y0000387796D01* +X0000831000Y0000387696D01* +X0000831299Y0000387696D01* +X0000831700Y0000387696D01* +X0000832000Y0000387796D01* +X0000833400Y0000387996D01* +X0000834800Y0000388396D01* +X0000835999Y0000388896D01* +X0000837200Y0000389596D01* +X0000838199Y0000390496D01* +X0000839100Y0000391496D01* +X0000839799Y0000392596D01* +X0000840200Y0000393196D01* +X0000840399Y0000393696D01* +X0000840599Y0000394296D01* +X0000840800Y0000394896D01* +X0000840899Y0000395096D01* +X0000841000Y0000395496D01* +X0000841100Y0000395796D01* +X0000841100Y0000396096D01* +X0000841100Y0000396496D01* +X0000841199Y0000396896D01* +X0000841199Y0000397496D01* +X0000841199Y0000397996D01* +X0000841199Y0000398696D01* +X0000841199Y0000399296D01* +X0000841100Y0000399696D01* +X0000841100Y0000400096D01* +X0000841100Y0000400396D01* +X0000841000Y0000400696D01* +X0000841000Y0000400796D01* +X0000840500Y0000402196D01* +X0000839900Y0000403496D01* +X0000839199Y0000404596D01* +X0000838300Y0000405596D01* +X0000837299Y0000406496D01* +X0000836100Y0000407196D01* +X0000834800Y0000407796D01* +X0000833400Y0000408196D01* +X0000833299Y0000408196D01* +X0000832500Y0000408296D01* +X0000831599Y0000408396D01* +X0000830700Y0000408396D01* +X0000829800Y0000408296D01* +X0000829199Y0000408196D01* +X0000827799Y0000407896D01* +X0000826500Y0000407296D01* +X0000825399Y0000406596D01* +X0000824299Y0000405796D01* +X0000823399Y0000404796D01* +X0000822599Y0000403696D01* +X0000822000Y0000402496D01* +X0000821499Y0000401096D01* +X0000821400Y0000400796D01* +X0000821299Y0000400496D01* +X0000821299Y0000400196D01* +X0000821199Y0000399796D01* +X0000821199Y0000399396D01* +X0000821199Y0000398896D01* +X0000821199Y0000398296D01* +X0000821199Y0000397996D01* +X0000821199Y0000397996D01* +X0000821199Y0000397996D01* +G37* +X0000821199Y0000397996D02* +X0000821199Y0000397396D01* +X0000821199Y0000396796D01* +X0000821199Y0000396396D01* +X0000821299Y0000396096D01* +X0000821299Y0000395796D01* +X0000821400Y0000395396D01* +X0000821499Y0000395096D01* +X0000821900Y0000393896D01* +X0000822399Y0000392696D01* +X0000823100Y0000391696D01* +X0000823900Y0000390796D01* +X0000824600Y0000390096D01* +X0000825299Y0000389596D01* +X0000826100Y0000389096D01* +X0000826800Y0000388696D01* +X0000827199Y0000388596D01* +X0000827199Y0000398396D01* +X0000827199Y0000399096D01* +X0000827199Y0000399696D01* +X0000827299Y0000399896D01* +X0000827499Y0000400796D01* +X0000827900Y0000401596D01* +X0000828299Y0000402396D01* +X0000828800Y0000402896D01* +X0000829399Y0000403296D01* +X0000829500Y0000403396D01* +X0000829800Y0000403496D01* +X0000829999Y0000403496D01* +X0000830299Y0000403596D01* +X0000830700Y0000403596D01* +X0000831200Y0000403596D01* +X0000831700Y0000403596D01* +X0000832100Y0000403596D01* +X0000832300Y0000403496D01* +X0000832600Y0000403496D01* +X0000832800Y0000403396D01* +X0000832900Y0000403396D01* +X0000833499Y0000402896D01* +X0000834099Y0000402396D01* +X0000834500Y0000401596D01* +X0000834899Y0000400796D01* +X0000835000Y0000400496D01* +X0000835100Y0000399996D01* +X0000835100Y0000399396D01* +X0000835199Y0000398696D01* +X0000835199Y0000397996D01* +X0000835199Y0000397396D01* +X0000835199Y0000396796D01* +X0000835100Y0000396596D01* +X0000834899Y0000395496D01* +X0000834599Y0000394596D01* +X0000834099Y0000393896D01* +X0000833600Y0000393296D01* +X0000832900Y0000392896D01* +X0000832600Y0000392696D01* +X0000832100Y0000392596D01* +X0000831500Y0000392496D01* +X0000830799Y0000392496D01* +X0000830199Y0000392596D01* +X0000829699Y0000392696D01* +X0000829100Y0000393096D01* +X0000828500Y0000393596D01* +X0000828000Y0000394196D01* +X0000827600Y0000394996D01* +X0000827400Y0000395696D01* +X0000827299Y0000396196D01* +X0000827199Y0000396896D01* +X0000827199Y0000397596D01* +X0000827199Y0000398396D01* +X0000827199Y0000388596D01* +X0000827700Y0000388296D01* +X0000828599Y0000388096D01* +X0000829699Y0000387896D01* +X0000830100Y0000387796D01* +X0000830600Y0000387796D01* +X0000831000Y0000387696D01* +X0000831299Y0000387696D01* +X0000831700Y0000387696D01* +X0000832000Y0000387796D01* +X0000833400Y0000387996D01* +X0000834800Y0000388396D01* +X0000835999Y0000388896D01* +X0000837200Y0000389596D01* +X0000838199Y0000390496D01* +X0000839100Y0000391496D01* +X0000839799Y0000392596D01* +X0000840200Y0000393196D01* +X0000840399Y0000393696D01* +X0000840599Y0000394296D01* +X0000840800Y0000394896D01* +X0000840899Y0000395096D01* +X0000841000Y0000395496D01* +X0000841100Y0000395796D01* +X0000841100Y0000396096D01* +X0000841100Y0000396496D01* +X0000841199Y0000396896D01* +X0000841199Y0000397496D01* +X0000841199Y0000397996D01* +X0000841199Y0000398696D01* +X0000841199Y0000399296D01* +X0000841100Y0000399696D01* +X0000841100Y0000400096D01* +X0000841100Y0000400396D01* +X0000841000Y0000400696D01* +X0000841000Y0000400796D01* +X0000840500Y0000402196D01* +X0000839900Y0000403496D01* +X0000839199Y0000404596D01* +X0000838300Y0000405596D01* +X0000837299Y0000406496D01* +X0000836100Y0000407196D01* +X0000834800Y0000407796D01* +X0000833400Y0000408196D01* +X0000833299Y0000408196D01* +X0000832500Y0000408296D01* +X0000831599Y0000408396D01* +X0000830700Y0000408396D01* +X0000829800Y0000408296D01* +X0000829199Y0000408196D01* +X0000827799Y0000407896D01* +X0000826500Y0000407296D01* +X0000825399Y0000406596D01* +X0000824299Y0000405796D01* +X0000823399Y0000404796D01* +X0000822599Y0000403696D01* +X0000822000Y0000402496D01* +X0000821499Y0000401096D01* +X0000821400Y0000400796D01* +X0000821299Y0000400496D01* +X0000821299Y0000400196D01* +X0000821199Y0000399796D01* +X0000821199Y0000399396D01* +X0000821199Y0000398896D01* +X0000821199Y0000398296D01* +X0000821199Y0000397996D01* +X0000821199Y0000397996D01* +G36* +X0000726399Y0000388196D02* +X0000728799Y0000388196D01* +X0000731100Y0000388196D01* +X0000731399Y0000389296D01* +X0000731399Y0000389696D01* +X0000731499Y0000390096D01* +X0000731599Y0000390296D01* +X0000731599Y0000390396D01* +X0000731700Y0000390396D01* +X0000731900Y0000390296D01* +X0000732200Y0000390096D01* +X0000732200Y0000394096D01* +X0000732200Y0000395796D01* +X0000732200Y0000397396D01* +X0000732700Y0000397396D01* +X0000733900Y0000397296D01* +X0000735099Y0000397096D01* +X0000736100Y0000396896D01* +X0000737100Y0000396696D01* +X0000737499Y0000396496D01* +X0000738200Y0000396196D01* +X0000738800Y0000395796D01* +X0000739199Y0000395396D01* +X0000739499Y0000394896D01* +X0000739600Y0000394296D01* +X0000739600Y0000394096D01* +X0000739499Y0000393696D01* +X0000739499Y0000393396D01* +X0000739300Y0000393196D01* +X0000739000Y0000392796D01* +X0000738500Y0000392596D01* +X0000737900Y0000392396D01* +X0000737200Y0000392296D01* +X0000736399Y0000392296D01* +X0000735599Y0000392396D01* +X0000735300Y0000392496D01* +X0000734799Y0000392696D01* +X0000734200Y0000392896D01* +X0000733600Y0000393196D01* +X0000733000Y0000393596D01* +X0000732899Y0000393596D01* +X0000732200Y0000394096D01* +X0000732200Y0000390096D01* +X0000732200Y0000390096D01* +X0000732500Y0000389896D01* +X0000733499Y0000389296D01* +X0000734599Y0000388696D01* +X0000735599Y0000388296D01* +X0000736600Y0000387996D01* +X0000736999Y0000387896D01* +X0000737700Y0000387796D01* +X0000738399Y0000387796D01* +X0000739100Y0000387796D01* +X0000739699Y0000387796D01* +X0000739699Y0000387796D01* +X0000740900Y0000387996D01* +X0000741899Y0000388396D01* +X0000742800Y0000388996D01* +X0000743599Y0000389596D01* +X0000744200Y0000390396D01* +X0000744700Y0000391296D01* +X0000745000Y0000392196D01* +X0000745100Y0000392696D01* +X0000745199Y0000393296D01* +X0000745199Y0000393896D01* +X0000745100Y0000394596D01* +X0000745100Y0000395096D01* +X0000745100Y0000395096D01* +X0000744800Y0000395996D01* +X0000744300Y0000396896D01* +X0000743700Y0000397596D01* +X0000742900Y0000398296D01* +X0000742300Y0000398696D01* +X0000741399Y0000399196D01* +X0000740299Y0000399596D01* +X0000739000Y0000399996D01* +X0000737599Y0000400296D01* +X0000736100Y0000400496D01* +X0000734399Y0000400696D01* +X0000733600Y0000400796D01* +X0000732200Y0000400896D01* +X0000732200Y0000401196D01* +X0000732500Y0000401896D01* +X0000732899Y0000402596D01* +X0000733499Y0000403096D01* +X0000734200Y0000403396D01* +X0000735000Y0000403596D01* +X0000736000Y0000403796D01* +X0000737100Y0000403696D01* +X0000738300Y0000403496D01* +X0000739499Y0000403196D01* +X0000740799Y0000402696D01* +X0000741500Y0000402396D01* +X0000741899Y0000402196D01* +X0000742199Y0000402096D01* +X0000742399Y0000401996D01* +X0000742499Y0000401996D01* +X0000742499Y0000402096D01* +X0000742699Y0000402396D01* +X0000742900Y0000402696D01* +X0000743100Y0000403096D01* +X0000743400Y0000403596D01* +X0000743599Y0000404096D01* +X0000743900Y0000404596D01* +X0000744099Y0000404996D01* +X0000744300Y0000405396D01* +X0000744399Y0000405696D01* +X0000744500Y0000405796D01* +X0000744500Y0000405796D01* +X0000744200Y0000405896D01* +X0000743900Y0000406096D01* +X0000743400Y0000406396D01* +X0000742800Y0000406596D01* +X0000742199Y0000406896D01* +X0000741500Y0000407196D01* +X0000740900Y0000407396D01* +X0000740299Y0000407596D01* +X0000740100Y0000407696D01* +X0000739100Y0000407996D01* +X0000737900Y0000408196D01* +X0000736699Y0000408296D01* +X0000735599Y0000408396D01* +X0000734399Y0000408396D01* +X0000733399Y0000408296D01* +X0000733000Y0000408196D01* +X0000731700Y0000407896D01* +X0000730499Y0000407396D01* +X0000729500Y0000406796D01* +X0000728599Y0000406096D01* +X0000727900Y0000405196D01* +X0000727300Y0000404196D01* +X0000727100Y0000403696D01* +X0000726899Y0000403396D01* +X0000726800Y0000403196D01* +X0000726800Y0000402896D01* +X0000726700Y0000402596D01* +X0000726599Y0000402396D01* +X0000726599Y0000401996D01* +X0000726500Y0000401696D01* +X0000726500Y0000401196D01* +X0000726500Y0000400696D01* +X0000726399Y0000400096D01* +X0000726399Y0000399296D01* +X0000726399Y0000398396D01* +X0000726399Y0000397396D01* +X0000726399Y0000396196D01* +X0000726399Y0000394896D01* +X0000726399Y0000388196D01* +X0000726399Y0000388196D01* +X0000726399Y0000388196D01* +G37* +X0000726399Y0000388196D02* +X0000728799Y0000388196D01* +X0000731100Y0000388196D01* +X0000731399Y0000389296D01* +X0000731399Y0000389696D01* +X0000731499Y0000390096D01* +X0000731599Y0000390296D01* +X0000731599Y0000390396D01* +X0000731700Y0000390396D01* +X0000731900Y0000390296D01* +X0000732200Y0000390096D01* +X0000732200Y0000394096D01* +X0000732200Y0000395796D01* +X0000732200Y0000397396D01* +X0000732700Y0000397396D01* +X0000733900Y0000397296D01* +X0000735099Y0000397096D01* +X0000736100Y0000396896D01* +X0000737100Y0000396696D01* +X0000737499Y0000396496D01* +X0000738200Y0000396196D01* +X0000738800Y0000395796D01* +X0000739199Y0000395396D01* +X0000739499Y0000394896D01* +X0000739600Y0000394296D01* +X0000739600Y0000394096D01* +X0000739499Y0000393696D01* +X0000739499Y0000393396D01* +X0000739300Y0000393196D01* +X0000739000Y0000392796D01* +X0000738500Y0000392596D01* +X0000737900Y0000392396D01* +X0000737200Y0000392296D01* +X0000736399Y0000392296D01* +X0000735599Y0000392396D01* +X0000735300Y0000392496D01* +X0000734799Y0000392696D01* +X0000734200Y0000392896D01* +X0000733600Y0000393196D01* +X0000733000Y0000393596D01* +X0000732899Y0000393596D01* +X0000732200Y0000394096D01* +X0000732200Y0000390096D01* +X0000732200Y0000390096D01* +X0000732500Y0000389896D01* +X0000733499Y0000389296D01* +X0000734599Y0000388696D01* +X0000735599Y0000388296D01* +X0000736600Y0000387996D01* +X0000736999Y0000387896D01* +X0000737700Y0000387796D01* +X0000738399Y0000387796D01* +X0000739100Y0000387796D01* +X0000739699Y0000387796D01* +X0000739699Y0000387796D01* +X0000740900Y0000387996D01* +X0000741899Y0000388396D01* +X0000742800Y0000388996D01* +X0000743599Y0000389596D01* +X0000744200Y0000390396D01* +X0000744700Y0000391296D01* +X0000745000Y0000392196D01* +X0000745100Y0000392696D01* +X0000745199Y0000393296D01* +X0000745199Y0000393896D01* +X0000745100Y0000394596D01* +X0000745100Y0000395096D01* +X0000745100Y0000395096D01* +X0000744800Y0000395996D01* +X0000744300Y0000396896D01* +X0000743700Y0000397596D01* +X0000742900Y0000398296D01* +X0000742300Y0000398696D01* +X0000741399Y0000399196D01* +X0000740299Y0000399596D01* +X0000739000Y0000399996D01* +X0000737599Y0000400296D01* +X0000736100Y0000400496D01* +X0000734399Y0000400696D01* +X0000733600Y0000400796D01* +X0000732200Y0000400896D01* +X0000732200Y0000401196D01* +X0000732500Y0000401896D01* +X0000732899Y0000402596D01* +X0000733499Y0000403096D01* +X0000734200Y0000403396D01* +X0000735000Y0000403596D01* +X0000736000Y0000403796D01* +X0000737100Y0000403696D01* +X0000738300Y0000403496D01* +X0000739499Y0000403196D01* +X0000740799Y0000402696D01* +X0000741500Y0000402396D01* +X0000741899Y0000402196D01* +X0000742199Y0000402096D01* +X0000742399Y0000401996D01* +X0000742499Y0000401996D01* +X0000742499Y0000402096D01* +X0000742699Y0000402396D01* +X0000742900Y0000402696D01* +X0000743100Y0000403096D01* +X0000743400Y0000403596D01* +X0000743599Y0000404096D01* +X0000743900Y0000404596D01* +X0000744099Y0000404996D01* +X0000744300Y0000405396D01* +X0000744399Y0000405696D01* +X0000744500Y0000405796D01* +X0000744500Y0000405796D01* +X0000744200Y0000405896D01* +X0000743900Y0000406096D01* +X0000743400Y0000406396D01* +X0000742800Y0000406596D01* +X0000742199Y0000406896D01* +X0000741500Y0000407196D01* +X0000740900Y0000407396D01* +X0000740299Y0000407596D01* +X0000740100Y0000407696D01* +X0000739100Y0000407996D01* +X0000737900Y0000408196D01* +X0000736699Y0000408296D01* +X0000735599Y0000408396D01* +X0000734399Y0000408396D01* +X0000733399Y0000408296D01* +X0000733000Y0000408196D01* +X0000731700Y0000407896D01* +X0000730499Y0000407396D01* +X0000729500Y0000406796D01* +X0000728599Y0000406096D01* +X0000727900Y0000405196D01* +X0000727300Y0000404196D01* +X0000727100Y0000403696D01* +X0000726899Y0000403396D01* +X0000726800Y0000403196D01* +X0000726800Y0000402896D01* +X0000726700Y0000402596D01* +X0000726599Y0000402396D01* +X0000726599Y0000401996D01* +X0000726500Y0000401696D01* +X0000726500Y0000401196D01* +X0000726500Y0000400696D01* +X0000726399Y0000400096D01* +X0000726399Y0000399296D01* +X0000726399Y0000398396D01* +X0000726399Y0000397396D01* +X0000726399Y0000396196D01* +X0000726399Y0000394896D01* +X0000726399Y0000388196D01* +X0000726399Y0000388196D01* +G36* +X0000654500Y0000399696D02* +X0000654500Y0000399196D01* +X0000654500Y0000398496D01* +X0000654500Y0000397996D01* +X0000654500Y0000397396D01* +X0000654599Y0000396996D01* +X0000654599Y0000396696D01* +X0000654599Y0000396596D01* +X0000654699Y0000396396D01* +X0000659700Y0000396396D01* +X0000659700Y0000400296D01* +X0000659799Y0000400696D01* +X0000659900Y0000401596D01* +X0000660200Y0000402296D01* +X0000660599Y0000402896D01* +X0000661199Y0000403396D01* +X0000661899Y0000403696D01* +X0000662700Y0000403896D01* +X0000663500Y0000403896D01* +X0000664400Y0000403896D01* +X0000665299Y0000403696D01* +X0000666000Y0000403296D01* +X0000666699Y0000402696D01* +X0000667100Y0000402396D01* +X0000667400Y0000401896D01* +X0000667600Y0000401396D01* +X0000667800Y0000400896D01* +X0000667899Y0000400596D01* +X0000667999Y0000400196D01* +X0000663899Y0000400196D01* +X0000659700Y0000400296D01* +X0000659700Y0000396396D01* +X0000661300Y0000396396D01* +X0000667999Y0000396396D01* +X0000667899Y0000396096D01* +X0000667600Y0000395196D01* +X0000667300Y0000394396D01* +X0000666699Y0000393696D01* +X0000666099Y0000393196D01* +X0000665400Y0000392896D01* +X0000664699Y0000392596D01* +X0000663899Y0000392396D01* +X0000663099Y0000392296D01* +X0000662100Y0000392196D01* +X0000661800Y0000392296D01* +X0000660800Y0000392296D01* +X0000660000Y0000392496D01* +X0000659100Y0000392696D01* +X0000658300Y0000392996D01* +X0000658000Y0000393196D01* +X0000657599Y0000393296D01* +X0000657300Y0000393396D01* +X0000657099Y0000393496D01* +X0000657099Y0000393496D01* +X0000657099Y0000393396D01* +X0000656900Y0000393096D01* +X0000656700Y0000392796D01* +X0000656400Y0000392296D01* +X0000656200Y0000391796D01* +X0000656100Y0000391696D01* +X0000655799Y0000391096D01* +X0000655600Y0000390696D01* +X0000655400Y0000390396D01* +X0000655300Y0000390096D01* +X0000655300Y0000389996D01* +X0000655400Y0000389896D01* +X0000655499Y0000389896D01* +X0000655799Y0000389696D01* +X0000656200Y0000389496D01* +X0000656599Y0000389296D01* +X0000656700Y0000389296D01* +X0000657800Y0000388796D01* +X0000658899Y0000388396D01* +X0000660000Y0000388096D01* +X0000661100Y0000387896D01* +X0000661899Y0000387796D01* +X0000662400Y0000387796D01* +X0000662799Y0000387696D01* +X0000663200Y0000387696D01* +X0000663599Y0000387696D01* +X0000664100Y0000387796D01* +X0000664400Y0000387796D01* +X0000665900Y0000387996D01* +X0000667300Y0000388396D01* +X0000668599Y0000388996D01* +X0000669699Y0000389696D01* +X0000670800Y0000390496D01* +X0000671700Y0000391396D01* +X0000672500Y0000392496D01* +X0000673100Y0000393696D01* +X0000673400Y0000394496D01* +X0000673699Y0000395696D01* +X0000673900Y0000396896D01* +X0000673999Y0000398196D01* +X0000673900Y0000399496D01* +X0000673699Y0000400696D01* +X0000673300Y0000401896D01* +X0000673199Y0000402196D01* +X0000672500Y0000403496D01* +X0000671799Y0000404596D01* +X0000670800Y0000405596D01* +X0000669800Y0000406496D01* +X0000668599Y0000407196D01* +X0000667300Y0000407796D01* +X0000665900Y0000408196D01* +X0000665499Y0000408296D01* +X0000664899Y0000408396D01* +X0000664199Y0000408396D01* +X0000663500Y0000408396D01* +X0000662700Y0000408396D01* +X0000661999Y0000408296D01* +X0000661300Y0000408196D01* +X0000661300Y0000408196D01* +X0000660099Y0000407896D01* +X0000658899Y0000407296D01* +X0000657899Y0000406696D01* +X0000657000Y0000405896D01* +X0000656799Y0000405696D01* +X0000656100Y0000404796D01* +X0000655499Y0000403896D01* +X0000655100Y0000402796D01* +X0000654699Y0000401596D01* +X0000654699Y0000401296D01* +X0000654599Y0000400896D01* +X0000654500Y0000400496D01* +X0000654500Y0000400096D01* +X0000654500Y0000399696D01* +X0000654500Y0000399696D01* +X0000654500Y0000399696D01* +G37* +X0000654500Y0000399696D02* +X0000654500Y0000399196D01* +X0000654500Y0000398496D01* +X0000654500Y0000397996D01* +X0000654500Y0000397396D01* +X0000654599Y0000396996D01* +X0000654599Y0000396696D01* +X0000654599Y0000396596D01* +X0000654699Y0000396396D01* +X0000659700Y0000396396D01* +X0000659700Y0000400296D01* +X0000659799Y0000400696D01* +X0000659900Y0000401596D01* +X0000660200Y0000402296D01* +X0000660599Y0000402896D01* +X0000661199Y0000403396D01* +X0000661899Y0000403696D01* +X0000662700Y0000403896D01* +X0000663500Y0000403896D01* +X0000664400Y0000403896D01* +X0000665299Y0000403696D01* +X0000666000Y0000403296D01* +X0000666699Y0000402696D01* +X0000667100Y0000402396D01* +X0000667400Y0000401896D01* +X0000667600Y0000401396D01* +X0000667800Y0000400896D01* +X0000667899Y0000400596D01* +X0000667999Y0000400196D01* +X0000663899Y0000400196D01* +X0000659700Y0000400296D01* +X0000659700Y0000396396D01* +X0000661300Y0000396396D01* +X0000667999Y0000396396D01* +X0000667899Y0000396096D01* +X0000667600Y0000395196D01* +X0000667300Y0000394396D01* +X0000666699Y0000393696D01* +X0000666099Y0000393196D01* +X0000665400Y0000392896D01* +X0000664699Y0000392596D01* +X0000663899Y0000392396D01* +X0000663099Y0000392296D01* +X0000662100Y0000392196D01* +X0000661800Y0000392296D01* +X0000660800Y0000392296D01* +X0000660000Y0000392496D01* +X0000659100Y0000392696D01* +X0000658300Y0000392996D01* +X0000658000Y0000393196D01* +X0000657599Y0000393296D01* +X0000657300Y0000393396D01* +X0000657099Y0000393496D01* +X0000657099Y0000393496D01* +X0000657099Y0000393396D01* +X0000656900Y0000393096D01* +X0000656700Y0000392796D01* +X0000656400Y0000392296D01* +X0000656200Y0000391796D01* +X0000656100Y0000391696D01* +X0000655799Y0000391096D01* +X0000655600Y0000390696D01* +X0000655400Y0000390396D01* +X0000655300Y0000390096D01* +X0000655300Y0000389996D01* +X0000655400Y0000389896D01* +X0000655499Y0000389896D01* +X0000655799Y0000389696D01* +X0000656200Y0000389496D01* +X0000656599Y0000389296D01* +X0000656700Y0000389296D01* +X0000657800Y0000388796D01* +X0000658899Y0000388396D01* +X0000660000Y0000388096D01* +X0000661100Y0000387896D01* +X0000661899Y0000387796D01* +X0000662400Y0000387796D01* +X0000662799Y0000387696D01* +X0000663200Y0000387696D01* +X0000663599Y0000387696D01* +X0000664100Y0000387796D01* +X0000664400Y0000387796D01* +X0000665900Y0000387996D01* +X0000667300Y0000388396D01* +X0000668599Y0000388996D01* +X0000669699Y0000389696D01* +X0000670800Y0000390496D01* +X0000671700Y0000391396D01* +X0000672500Y0000392496D01* +X0000673100Y0000393696D01* +X0000673400Y0000394496D01* +X0000673699Y0000395696D01* +X0000673900Y0000396896D01* +X0000673999Y0000398196D01* +X0000673900Y0000399496D01* +X0000673699Y0000400696D01* +X0000673300Y0000401896D01* +X0000673199Y0000402196D01* +X0000672500Y0000403496D01* +X0000671799Y0000404596D01* +X0000670800Y0000405596D01* +X0000669800Y0000406496D01* +X0000668599Y0000407196D01* +X0000667300Y0000407796D01* +X0000665900Y0000408196D01* +X0000665499Y0000408296D01* +X0000664899Y0000408396D01* +X0000664199Y0000408396D01* +X0000663500Y0000408396D01* +X0000662700Y0000408396D01* +X0000661999Y0000408296D01* +X0000661300Y0000408196D01* +X0000661300Y0000408196D01* +X0000660099Y0000407896D01* +X0000658899Y0000407296D01* +X0000657899Y0000406696D01* +X0000657000Y0000405896D01* +X0000656799Y0000405696D01* +X0000656100Y0000404796D01* +X0000655499Y0000403896D01* +X0000655100Y0000402796D01* +X0000654699Y0000401596D01* +X0000654699Y0000401296D01* +X0000654599Y0000400896D01* +X0000654500Y0000400496D01* +X0000654500Y0000400096D01* +X0000654500Y0000399696D01* +X0000654500Y0000399696D01* +G36* +X0000631300Y0000388196D02* +X0000633699Y0000388196D01* +X0000634400Y0000388196D01* +X0000634999Y0000388196D01* +X0000635400Y0000388196D01* +X0000635700Y0000388196D01* +X0000635900Y0000388196D01* +X0000636000Y0000388296D01* +X0000636099Y0000388296D01* +X0000636099Y0000388396D01* +X0000636099Y0000388496D01* +X0000636200Y0000388796D01* +X0000636300Y0000389196D01* +X0000636300Y0000389396D01* +X0000636399Y0000389796D01* +X0000636399Y0000390096D01* +X0000636500Y0000390196D01* +X0000636500Y0000390196D01* +X0000636599Y0000390196D01* +X0000636800Y0000390096D01* +X0000637100Y0000389796D01* +X0000637100Y0000389796D01* +X0000637100Y0000394296D01* +X0000637199Y0000398396D01* +X0000637199Y0000402396D01* +X0000637699Y0000402796D01* +X0000638499Y0000403196D01* +X0000639300Y0000403496D01* +X0000640300Y0000403596D01* +X0000640400Y0000403596D01* +X0000640900Y0000403596D01* +X0000641200Y0000403596D01* +X0000641499Y0000403496D01* +X0000641900Y0000403396D01* +X0000641900Y0000403296D01* +X0000642599Y0000402896D01* +X0000643199Y0000402296D01* +X0000643699Y0000401496D01* +X0000644100Y0000400596D01* +X0000644200Y0000400296D01* +X0000644299Y0000399896D01* +X0000644299Y0000399296D01* +X0000644400Y0000398596D01* +X0000644400Y0000397896D01* +X0000644299Y0000397096D01* +X0000644299Y0000396496D01* +X0000644200Y0000395896D01* +X0000644200Y0000395696D01* +X0000643900Y0000394796D01* +X0000643499Y0000394096D01* +X0000643199Y0000393596D01* +X0000642699Y0000393096D01* +X0000642099Y0000392796D01* +X0000641499Y0000392596D01* +X0000640699Y0000392596D01* +X0000640400Y0000392596D01* +X0000639899Y0000392596D01* +X0000639500Y0000392696D01* +X0000639099Y0000392796D01* +X0000638900Y0000392896D01* +X0000638200Y0000393296D01* +X0000637699Y0000393796D01* +X0000637100Y0000394296D01* +X0000637100Y0000389796D01* +X0000637300Y0000389596D01* +X0000637999Y0000389196D01* +X0000638599Y0000388796D01* +X0000639300Y0000388396D01* +X0000640000Y0000388096D01* +X0000640499Y0000387996D01* +X0000641200Y0000387796D01* +X0000642099Y0000387796D01* +X0000642899Y0000387796D01* +X0000643199Y0000387796D01* +X0000643900Y0000387896D01* +X0000644700Y0000388196D01* +X0000645399Y0000388396D01* +X0000646100Y0000388796D01* +X0000646199Y0000388796D01* +X0000646699Y0000389196D01* +X0000647299Y0000389596D01* +X0000647799Y0000390196D01* +X0000648300Y0000390696D01* +X0000648699Y0000391296D01* +X0000648699Y0000391296D01* +X0000649300Y0000392396D01* +X0000649799Y0000393596D01* +X0000650100Y0000394996D01* +X0000650299Y0000396396D01* +X0000650400Y0000397796D01* +X0000650400Y0000399196D01* +X0000650200Y0000400596D01* +X0000650200Y0000400696D01* +X0000649799Y0000401996D01* +X0000649300Y0000403296D01* +X0000648699Y0000404396D01* +X0000648000Y0000405396D01* +X0000647100Y0000406296D01* +X0000646199Y0000407096D01* +X0000645099Y0000407696D01* +X0000643999Y0000408096D01* +X0000643600Y0000408196D01* +X0000643000Y0000408296D01* +X0000642300Y0000408396D01* +X0000641599Y0000408396D01* +X0000640999Y0000408396D01* +X0000640400Y0000408296D01* +X0000640199Y0000408196D01* +X0000639500Y0000407996D01* +X0000638799Y0000407596D01* +X0000637999Y0000407196D01* +X0000637600Y0000406896D01* +X0000637300Y0000406696D01* +X0000637100Y0000406496D01* +X0000637000Y0000406396D01* +X0000637000Y0000406396D01* +X0000637000Y0000406596D01* +X0000637000Y0000406796D01* +X0000637000Y0000407196D01* +X0000637000Y0000407696D01* +X0000637000Y0000407896D01* +X0000637000Y0000408296D01* +X0000637100Y0000408896D01* +X0000637100Y0000409596D01* +X0000637100Y0000410396D01* +X0000637100Y0000411296D01* +X0000637100Y0000412196D01* +X0000637100Y0000412696D01* +X0000637100Y0000416196D01* +X0000634199Y0000416196D01* +X0000631300Y0000416196D01* +X0000631300Y0000402196D01* +X0000631300Y0000388196D01* +X0000631300Y0000388196D01* +X0000631300Y0000388196D01* +G37* +X0000631300Y0000388196D02* +X0000633699Y0000388196D01* +X0000634400Y0000388196D01* +X0000634999Y0000388196D01* +X0000635400Y0000388196D01* +X0000635700Y0000388196D01* +X0000635900Y0000388196D01* +X0000636000Y0000388296D01* +X0000636099Y0000388296D01* +X0000636099Y0000388396D01* +X0000636099Y0000388496D01* +X0000636200Y0000388796D01* +X0000636300Y0000389196D01* +X0000636300Y0000389396D01* +X0000636399Y0000389796D01* +X0000636399Y0000390096D01* +X0000636500Y0000390196D01* +X0000636500Y0000390196D01* +X0000636599Y0000390196D01* +X0000636800Y0000390096D01* +X0000637100Y0000389796D01* +X0000637100Y0000389796D01* +X0000637100Y0000394296D01* +X0000637199Y0000398396D01* +X0000637199Y0000402396D01* +X0000637699Y0000402796D01* +X0000638499Y0000403196D01* +X0000639300Y0000403496D01* +X0000640300Y0000403596D01* +X0000640400Y0000403596D01* +X0000640900Y0000403596D01* +X0000641200Y0000403596D01* +X0000641499Y0000403496D01* +X0000641900Y0000403396D01* +X0000641900Y0000403296D01* +X0000642599Y0000402896D01* +X0000643199Y0000402296D01* +X0000643699Y0000401496D01* +X0000644100Y0000400596D01* +X0000644200Y0000400296D01* +X0000644299Y0000399896D01* +X0000644299Y0000399296D01* +X0000644400Y0000398596D01* +X0000644400Y0000397896D01* +X0000644299Y0000397096D01* +X0000644299Y0000396496D01* +X0000644200Y0000395896D01* +X0000644200Y0000395696D01* +X0000643900Y0000394796D01* +X0000643499Y0000394096D01* +X0000643199Y0000393596D01* +X0000642699Y0000393096D01* +X0000642099Y0000392796D01* +X0000641499Y0000392596D01* +X0000640699Y0000392596D01* +X0000640400Y0000392596D01* +X0000639899Y0000392596D01* +X0000639500Y0000392696D01* +X0000639099Y0000392796D01* +X0000638900Y0000392896D01* +X0000638200Y0000393296D01* +X0000637699Y0000393796D01* +X0000637100Y0000394296D01* +X0000637100Y0000389796D01* +X0000637300Y0000389596D01* +X0000637999Y0000389196D01* +X0000638599Y0000388796D01* +X0000639300Y0000388396D01* +X0000640000Y0000388096D01* +X0000640499Y0000387996D01* +X0000641200Y0000387796D01* +X0000642099Y0000387796D01* +X0000642899Y0000387796D01* +X0000643199Y0000387796D01* +X0000643900Y0000387896D01* +X0000644700Y0000388196D01* +X0000645399Y0000388396D01* +X0000646100Y0000388796D01* +X0000646199Y0000388796D01* +X0000646699Y0000389196D01* +X0000647299Y0000389596D01* +X0000647799Y0000390196D01* +X0000648300Y0000390696D01* +X0000648699Y0000391296D01* +X0000648699Y0000391296D01* +X0000649300Y0000392396D01* +X0000649799Y0000393596D01* +X0000650100Y0000394996D01* +X0000650299Y0000396396D01* +X0000650400Y0000397796D01* +X0000650400Y0000399196D01* +X0000650200Y0000400596D01* +X0000650200Y0000400696D01* +X0000649799Y0000401996D01* +X0000649300Y0000403296D01* +X0000648699Y0000404396D01* +X0000648000Y0000405396D01* +X0000647100Y0000406296D01* +X0000646199Y0000407096D01* +X0000645099Y0000407696D01* +X0000643999Y0000408096D01* +X0000643600Y0000408196D01* +X0000643000Y0000408296D01* +X0000642300Y0000408396D01* +X0000641599Y0000408396D01* +X0000640999Y0000408396D01* +X0000640400Y0000408296D01* +X0000640199Y0000408196D01* +X0000639500Y0000407996D01* +X0000638799Y0000407596D01* +X0000637999Y0000407196D01* +X0000637600Y0000406896D01* +X0000637300Y0000406696D01* +X0000637100Y0000406496D01* +X0000637000Y0000406396D01* +X0000637000Y0000406396D01* +X0000637000Y0000406596D01* +X0000637000Y0000406796D01* +X0000637000Y0000407196D01* +X0000637000Y0000407696D01* +X0000637000Y0000407896D01* +X0000637000Y0000408296D01* +X0000637100Y0000408896D01* +X0000637100Y0000409596D01* +X0000637100Y0000410396D01* +X0000637100Y0000411296D01* +X0000637100Y0000412196D01* +X0000637100Y0000412696D01* +X0000637100Y0000416196D01* +X0000634199Y0000416196D01* +X0000631300Y0000416196D01* +X0000631300Y0000402196D01* +X0000631300Y0000388196D01* +X0000631300Y0000388196D01* +G36* +X0000583199Y0000388196D02* +X0000585599Y0000388196D01* +X0000588000Y0000388196D01* +X0000588200Y0000389296D01* +X0000588300Y0000389696D01* +X0000588399Y0000390096D01* +X0000588500Y0000390296D01* +X0000588500Y0000390396D01* +X0000588599Y0000390396D01* +X0000588800Y0000390296D01* +X0000589100Y0000390096D01* +X0000589199Y0000390096D01* +X0000589199Y0000394096D01* +X0000589199Y0000395796D01* +X0000589199Y0000397396D01* +X0000590100Y0000397296D01* +X0000591599Y0000397196D01* +X0000592799Y0000396996D01* +X0000593899Y0000396696D01* +X0000594699Y0000396396D01* +X0000595400Y0000395996D01* +X0000595999Y0000395596D01* +X0000596299Y0000395096D01* +X0000596400Y0000394796D01* +X0000596500Y0000394196D01* +X0000596400Y0000393596D01* +X0000596200Y0000393096D01* +X0000595799Y0000392796D01* +X0000595499Y0000392596D01* +X0000594899Y0000392396D01* +X0000594200Y0000392296D01* +X0000593500Y0000392296D01* +X0000592699Y0000392396D01* +X0000592100Y0000392496D01* +X0000591399Y0000392796D01* +X0000590799Y0000393096D01* +X0000590100Y0000393496D01* +X0000589699Y0000393696D01* +X0000589199Y0000394096D01* +X0000589199Y0000390096D01* +X0000589499Y0000389896D01* +X0000590799Y0000389096D01* +X0000592100Y0000388496D01* +X0000593500Y0000387996D01* +X0000594800Y0000387796D01* +X0000596100Y0000387796D01* +X0000596700Y0000387796D01* +X0000597599Y0000387996D01* +X0000598499Y0000388296D01* +X0000599400Y0000388696D01* +X0000600099Y0000389196D01* +X0000600500Y0000389596D01* +X0000601199Y0000390396D01* +X0000601600Y0000391296D01* +X0000601900Y0000392196D01* +X0000602100Y0000393296D01* +X0000602100Y0000393696D01* +X0000601999Y0000394796D01* +X0000601799Y0000395796D01* +X0000601400Y0000396596D01* +X0000600800Y0000397396D01* +X0000600099Y0000398096D01* +X0000599100Y0000398796D01* +X0000598799Y0000398896D01* +X0000597899Y0000399296D01* +X0000596799Y0000399696D01* +X0000595600Y0000399996D01* +X0000594200Y0000400296D01* +X0000592799Y0000400496D01* +X0000591200Y0000400696D01* +X0000589999Y0000400896D01* +X0000589600Y0000400896D01* +X0000589300Y0000400896D01* +X0000589199Y0000401096D01* +X0000589199Y0000401196D01* +X0000589199Y0000401496D01* +X0000589300Y0000401696D01* +X0000589600Y0000402296D01* +X0000590100Y0000402796D01* +X0000590700Y0000403196D01* +X0000591399Y0000403496D01* +X0000592199Y0000403696D01* +X0000593100Y0000403796D01* +X0000594099Y0000403696D01* +X0000595100Y0000403496D01* +X0000596200Y0000403296D01* +X0000596500Y0000403196D01* +X0000597000Y0000402996D01* +X0000597599Y0000402696D01* +X0000598100Y0000402496D01* +X0000598400Y0000402396D01* +X0000598799Y0000402196D01* +X0000599100Y0000402096D01* +X0000599299Y0000401996D01* +X0000599400Y0000401996D01* +X0000599400Y0000402096D01* +X0000599500Y0000402296D01* +X0000599700Y0000402696D01* +X0000600000Y0000403096D01* +X0000600200Y0000403596D01* +X0000600500Y0000404096D01* +X0000600800Y0000404596D01* +X0000601000Y0000404996D01* +X0000601199Y0000405296D01* +X0000601300Y0000405596D01* +X0000601300Y0000405696D01* +X0000601300Y0000405696D01* +X0000601199Y0000405796D01* +X0000601000Y0000405996D01* +X0000600699Y0000406196D01* +X0000600200Y0000406396D01* +X0000600099Y0000406496D01* +X0000598400Y0000407196D01* +X0000596700Y0000407796D01* +X0000595199Y0000408196D01* +X0000594399Y0000408296D01* +X0000593799Y0000408296D01* +X0000593100Y0000408396D01* +X0000592300Y0000408396D01* +X0000591500Y0000408396D01* +X0000590799Y0000408296D01* +X0000590100Y0000408296D01* +X0000589900Y0000408196D01* +X0000588699Y0000407896D01* +X0000587500Y0000407496D01* +X0000586499Y0000406896D01* +X0000585599Y0000406096D01* +X0000584799Y0000405296D01* +X0000584200Y0000404296D01* +X0000583799Y0000403196D01* +X0000583600Y0000402796D01* +X0000583600Y0000402396D01* +X0000583499Y0000402096D01* +X0000583400Y0000401796D01* +X0000583400Y0000401396D01* +X0000583400Y0000400996D01* +X0000583300Y0000400496D01* +X0000583300Y0000399896D01* +X0000583300Y0000399196D01* +X0000583300Y0000398396D01* +X0000583300Y0000397496D01* +X0000583199Y0000396396D01* +X0000583199Y0000395196D01* +X0000583199Y0000394196D01* +X0000583199Y0000388196D01* +X0000583199Y0000388196D01* +X0000583199Y0000388196D01* +G37* +X0000583199Y0000388196D02* +X0000585599Y0000388196D01* +X0000588000Y0000388196D01* +X0000588200Y0000389296D01* +X0000588300Y0000389696D01* +X0000588399Y0000390096D01* +X0000588500Y0000390296D01* +X0000588500Y0000390396D01* +X0000588599Y0000390396D01* +X0000588800Y0000390296D01* +X0000589100Y0000390096D01* +X0000589199Y0000390096D01* +X0000589199Y0000394096D01* +X0000589199Y0000395796D01* +X0000589199Y0000397396D01* +X0000590100Y0000397296D01* +X0000591599Y0000397196D01* +X0000592799Y0000396996D01* +X0000593899Y0000396696D01* +X0000594699Y0000396396D01* +X0000595400Y0000395996D01* +X0000595999Y0000395596D01* +X0000596299Y0000395096D01* +X0000596400Y0000394796D01* +X0000596500Y0000394196D01* +X0000596400Y0000393596D01* +X0000596200Y0000393096D01* +X0000595799Y0000392796D01* +X0000595499Y0000392596D01* +X0000594899Y0000392396D01* +X0000594200Y0000392296D01* +X0000593500Y0000392296D01* +X0000592699Y0000392396D01* +X0000592100Y0000392496D01* +X0000591399Y0000392796D01* +X0000590799Y0000393096D01* +X0000590100Y0000393496D01* +X0000589699Y0000393696D01* +X0000589199Y0000394096D01* +X0000589199Y0000390096D01* +X0000589499Y0000389896D01* +X0000590799Y0000389096D01* +X0000592100Y0000388496D01* +X0000593500Y0000387996D01* +X0000594800Y0000387796D01* +X0000596100Y0000387796D01* +X0000596700Y0000387796D01* +X0000597599Y0000387996D01* +X0000598499Y0000388296D01* +X0000599400Y0000388696D01* +X0000600099Y0000389196D01* +X0000600500Y0000389596D01* +X0000601199Y0000390396D01* +X0000601600Y0000391296D01* +X0000601900Y0000392196D01* +X0000602100Y0000393296D01* +X0000602100Y0000393696D01* +X0000601999Y0000394796D01* +X0000601799Y0000395796D01* +X0000601400Y0000396596D01* +X0000600800Y0000397396D01* +X0000600099Y0000398096D01* +X0000599100Y0000398796D01* +X0000598799Y0000398896D01* +X0000597899Y0000399296D01* +X0000596799Y0000399696D01* +X0000595600Y0000399996D01* +X0000594200Y0000400296D01* +X0000592799Y0000400496D01* +X0000591200Y0000400696D01* +X0000589999Y0000400896D01* +X0000589600Y0000400896D01* +X0000589300Y0000400896D01* +X0000589199Y0000401096D01* +X0000589199Y0000401196D01* +X0000589199Y0000401496D01* +X0000589300Y0000401696D01* +X0000589600Y0000402296D01* +X0000590100Y0000402796D01* +X0000590700Y0000403196D01* +X0000591399Y0000403496D01* +X0000592199Y0000403696D01* +X0000593100Y0000403796D01* +X0000594099Y0000403696D01* +X0000595100Y0000403496D01* +X0000596200Y0000403296D01* +X0000596500Y0000403196D01* +X0000597000Y0000402996D01* +X0000597599Y0000402696D01* +X0000598100Y0000402496D01* +X0000598400Y0000402396D01* +X0000598799Y0000402196D01* +X0000599100Y0000402096D01* +X0000599299Y0000401996D01* +X0000599400Y0000401996D01* +X0000599400Y0000402096D01* +X0000599500Y0000402296D01* +X0000599700Y0000402696D01* +X0000600000Y0000403096D01* +X0000600200Y0000403596D01* +X0000600500Y0000404096D01* +X0000600800Y0000404596D01* +X0000601000Y0000404996D01* +X0000601199Y0000405296D01* +X0000601300Y0000405596D01* +X0000601300Y0000405696D01* +X0000601300Y0000405696D01* +X0000601199Y0000405796D01* +X0000601000Y0000405996D01* +X0000600699Y0000406196D01* +X0000600200Y0000406396D01* +X0000600099Y0000406496D01* +X0000598400Y0000407196D01* +X0000596700Y0000407796D01* +X0000595199Y0000408196D01* +X0000594399Y0000408296D01* +X0000593799Y0000408296D01* +X0000593100Y0000408396D01* +X0000592300Y0000408396D01* +X0000591500Y0000408396D01* +X0000590799Y0000408296D01* +X0000590100Y0000408296D01* +X0000589900Y0000408196D01* +X0000588699Y0000407896D01* +X0000587500Y0000407496D01* +X0000586499Y0000406896D01* +X0000585599Y0000406096D01* +X0000584799Y0000405296D01* +X0000584200Y0000404296D01* +X0000583799Y0000403196D01* +X0000583600Y0000402796D01* +X0000583600Y0000402396D01* +X0000583499Y0000402096D01* +X0000583400Y0000401796D01* +X0000583400Y0000401396D01* +X0000583400Y0000400996D01* +X0000583300Y0000400496D01* +X0000583300Y0000399896D01* +X0000583300Y0000399196D01* +X0000583300Y0000398396D01* +X0000583300Y0000397496D01* +X0000583199Y0000396396D01* +X0000583199Y0000395196D01* +X0000583199Y0000394196D01* +X0000583199Y0000388196D01* +X0000583199Y0000388196D01* +G36* +X0000558999Y0000390696D02* +X0000558999Y0000390596D01* +X0000559199Y0000390396D01* +X0000559499Y0000390196D01* +X0000559900Y0000389896D01* +X0000560400Y0000389596D01* +X0000560899Y0000389296D01* +X0000561000Y0000389196D01* +X0000562400Y0000388596D01* +X0000563799Y0000388196D01* +X0000565300Y0000387896D01* +X0000565900Y0000387796D01* +X0000566400Y0000387796D01* +X0000566799Y0000387696D01* +X0000567200Y0000387696D01* +X0000567500Y0000387696D01* +X0000567899Y0000387796D01* +X0000569400Y0000387896D01* +X0000570699Y0000388196D01* +X0000571900Y0000388596D01* +X0000572200Y0000388796D01* +X0000572799Y0000389096D01* +X0000573500Y0000389396D01* +X0000574100Y0000389896D01* +X0000574699Y0000390296D01* +X0000574999Y0000390496D01* +X0000575500Y0000390996D01* +X0000576000Y0000391696D01* +X0000576500Y0000392296D01* +X0000576899Y0000392996D01* +X0000576899Y0000393196D01* +X0000577400Y0000394396D01* +X0000577799Y0000395696D01* +X0000577999Y0000396996D01* +X0000577999Y0000398296D01* +X0000577900Y0000399696D01* +X0000577699Y0000400896D01* +X0000577199Y0000402096D01* +X0000577000Y0000402596D01* +X0000576300Y0000403796D01* +X0000575500Y0000404896D01* +X0000574499Y0000405796D01* +X0000573300Y0000406596D01* +X0000571999Y0000407296D01* +X0000570699Y0000407796D01* +X0000569700Y0000408096D01* +X0000568799Y0000408196D01* +X0000567899Y0000408296D01* +X0000566799Y0000408396D01* +X0000566599Y0000408396D01* +X0000565400Y0000408296D01* +X0000564200Y0000408196D01* +X0000563200Y0000407996D01* +X0000562199Y0000407596D01* +X0000561300Y0000407196D01* +X0000560899Y0000406896D01* +X0000560400Y0000406696D01* +X0000559999Y0000406396D01* +X0000559600Y0000406096D01* +X0000559400Y0000405896D01* +X0000559300Y0000405696D01* +X0000559300Y0000405696D01* +X0000559400Y0000405596D01* +X0000559600Y0000405296D01* +X0000559799Y0000404896D01* +X0000560100Y0000404496D01* +X0000560500Y0000404096D01* +X0000560799Y0000403596D01* +X0000561099Y0000403196D01* +X0000561399Y0000402796D01* +X0000561699Y0000402496D01* +X0000561800Y0000402296D01* +X0000561899Y0000402196D01* +X0000561899Y0000402196D01* +X0000561999Y0000402196D01* +X0000562199Y0000402396D01* +X0000562499Y0000402496D01* +X0000562600Y0000402596D01* +X0000562999Y0000402796D01* +X0000563500Y0000402996D01* +X0000564000Y0000403296D01* +X0000564000Y0000403296D01* +X0000564500Y0000403396D01* +X0000564800Y0000403496D01* +X0000565199Y0000403596D01* +X0000565600Y0000403596D01* +X0000566100Y0000403596D01* +X0000567099Y0000403596D01* +X0000568100Y0000403496D01* +X0000568900Y0000403196D01* +X0000569700Y0000402696D01* +X0000570399Y0000402196D01* +X0000571000Y0000401496D01* +X0000571400Y0000400796D01* +X0000571799Y0000399896D01* +X0000571900Y0000398896D01* +X0000571999Y0000398496D01* +X0000571999Y0000397396D01* +X0000571799Y0000396396D01* +X0000571499Y0000395396D01* +X0000571000Y0000394596D01* +X0000570300Y0000393896D01* +X0000569599Y0000393296D01* +X0000568699Y0000392896D01* +X0000568100Y0000392696D01* +X0000567599Y0000392596D01* +X0000566899Y0000392496D01* +X0000566200Y0000392496D01* +X0000565400Y0000392596D01* +X0000564800Y0000392696D01* +X0000564599Y0000392696D01* +X0000564000Y0000392896D01* +X0000563299Y0000393196D01* +X0000562600Y0000393496D01* +X0000561899Y0000393896D01* +X0000561699Y0000393996D01* +X0000561500Y0000394196D01* +X0000561300Y0000394296D01* +X0000561200Y0000394296D01* +X0000561200Y0000394196D01* +X0000561000Y0000393896D01* +X0000560799Y0000393596D01* +X0000560500Y0000393196D01* +X0000560200Y0000392696D01* +X0000559900Y0000392196D01* +X0000559600Y0000391796D01* +X0000559400Y0000391396D01* +X0000559100Y0000390996D01* +X0000558999Y0000390796D01* +X0000558999Y0000390696D01* +X0000558999Y0000390696D01* +X0000558999Y0000390696D01* +G37* +X0000558999Y0000390696D02* +X0000558999Y0000390596D01* +X0000559199Y0000390396D01* +X0000559499Y0000390196D01* +X0000559900Y0000389896D01* +X0000560400Y0000389596D01* +X0000560899Y0000389296D01* +X0000561000Y0000389196D01* +X0000562400Y0000388596D01* +X0000563799Y0000388196D01* +X0000565300Y0000387896D01* +X0000565900Y0000387796D01* +X0000566400Y0000387796D01* +X0000566799Y0000387696D01* +X0000567200Y0000387696D01* +X0000567500Y0000387696D01* +X0000567899Y0000387796D01* +X0000569400Y0000387896D01* +X0000570699Y0000388196D01* +X0000571900Y0000388596D01* +X0000572200Y0000388796D01* +X0000572799Y0000389096D01* +X0000573500Y0000389396D01* +X0000574100Y0000389896D01* +X0000574699Y0000390296D01* +X0000574999Y0000390496D01* +X0000575500Y0000390996D01* +X0000576000Y0000391696D01* +X0000576500Y0000392296D01* +X0000576899Y0000392996D01* +X0000576899Y0000393196D01* +X0000577400Y0000394396D01* +X0000577799Y0000395696D01* +X0000577999Y0000396996D01* +X0000577999Y0000398296D01* +X0000577900Y0000399696D01* +X0000577699Y0000400896D01* +X0000577199Y0000402096D01* +X0000577000Y0000402596D01* +X0000576300Y0000403796D01* +X0000575500Y0000404896D01* +X0000574499Y0000405796D01* +X0000573300Y0000406596D01* +X0000571999Y0000407296D01* +X0000570699Y0000407796D01* +X0000569700Y0000408096D01* +X0000568799Y0000408196D01* +X0000567899Y0000408296D01* +X0000566799Y0000408396D01* +X0000566599Y0000408396D01* +X0000565400Y0000408296D01* +X0000564200Y0000408196D01* +X0000563200Y0000407996D01* +X0000562199Y0000407596D01* +X0000561300Y0000407196D01* +X0000560899Y0000406896D01* +X0000560400Y0000406696D01* +X0000559999Y0000406396D01* +X0000559600Y0000406096D01* +X0000559400Y0000405896D01* +X0000559300Y0000405696D01* +X0000559300Y0000405696D01* +X0000559400Y0000405596D01* +X0000559600Y0000405296D01* +X0000559799Y0000404896D01* +X0000560100Y0000404496D01* +X0000560500Y0000404096D01* +X0000560799Y0000403596D01* +X0000561099Y0000403196D01* +X0000561399Y0000402796D01* +X0000561699Y0000402496D01* +X0000561800Y0000402296D01* +X0000561899Y0000402196D01* +X0000561899Y0000402196D01* +X0000561999Y0000402196D01* +X0000562199Y0000402396D01* +X0000562499Y0000402496D01* +X0000562600Y0000402596D01* +X0000562999Y0000402796D01* +X0000563500Y0000402996D01* +X0000564000Y0000403296D01* +X0000564000Y0000403296D01* +X0000564500Y0000403396D01* +X0000564800Y0000403496D01* +X0000565199Y0000403596D01* +X0000565600Y0000403596D01* +X0000566100Y0000403596D01* +X0000567099Y0000403596D01* +X0000568100Y0000403496D01* +X0000568900Y0000403196D01* +X0000569700Y0000402696D01* +X0000570399Y0000402196D01* +X0000571000Y0000401496D01* +X0000571400Y0000400796D01* +X0000571799Y0000399896D01* +X0000571900Y0000398896D01* +X0000571999Y0000398496D01* +X0000571999Y0000397396D01* +X0000571799Y0000396396D01* +X0000571499Y0000395396D01* +X0000571000Y0000394596D01* +X0000570300Y0000393896D01* +X0000569599Y0000393296D01* +X0000568699Y0000392896D01* +X0000568100Y0000392696D01* +X0000567599Y0000392596D01* +X0000566899Y0000392496D01* +X0000566200Y0000392496D01* +X0000565400Y0000392596D01* +X0000564800Y0000392696D01* +X0000564599Y0000392696D01* +X0000564000Y0000392896D01* +X0000563299Y0000393196D01* +X0000562600Y0000393496D01* +X0000561899Y0000393896D01* +X0000561699Y0000393996D01* +X0000561500Y0000394196D01* +X0000561300Y0000394296D01* +X0000561200Y0000394296D01* +X0000561200Y0000394196D01* +X0000561000Y0000393896D01* +X0000560799Y0000393596D01* +X0000560500Y0000393196D01* +X0000560200Y0000392696D01* +X0000559900Y0000392196D01* +X0000559600Y0000391796D01* +X0000559400Y0000391396D01* +X0000559100Y0000390996D01* +X0000558999Y0000390796D01* +X0000558999Y0000390696D01* +X0000558999Y0000390696D01* +G36* +X0000511300Y0000399096D02* +X0000511300Y0000398496D01* +X0000511300Y0000397896D01* +X0000511300Y0000397396D01* +X0000511300Y0000396996D01* +X0000511400Y0000396796D01* +X0000511400Y0000396396D01* +X0000516599Y0000396396D01* +X0000516599Y0000400196D01* +X0000516599Y0000400596D01* +X0000516599Y0000401096D01* +X0000516699Y0000401596D01* +X0000516899Y0000401996D01* +X0000516999Y0000402396D01* +X0000517199Y0000402596D01* +X0000517400Y0000402896D01* +X0000517600Y0000402996D01* +X0000517999Y0000403396D01* +X0000518500Y0000403696D01* +X0000519099Y0000403796D01* +X0000519800Y0000403896D01* +X0000520100Y0000403896D01* +X0000520900Y0000403896D01* +X0000521599Y0000403796D01* +X0000522200Y0000403596D01* +X0000522599Y0000403396D01* +X0000522999Y0000403196D01* +X0000523499Y0000402796D01* +X0000523900Y0000402396D01* +X0000524200Y0000401996D01* +X0000524200Y0000401896D01* +X0000524299Y0000401696D01* +X0000524500Y0000401296D01* +X0000524599Y0000400896D01* +X0000524799Y0000400596D01* +X0000524799Y0000400396D01* +X0000524799Y0000400296D01* +X0000524700Y0000400296D01* +X0000524500Y0000400296D01* +X0000523999Y0000400196D01* +X0000523499Y0000400196D01* +X0000522800Y0000400196D01* +X0000522000Y0000400196D01* +X0000521200Y0000400196D01* +X0000520699Y0000400196D01* +X0000516599Y0000400196D01* +X0000516599Y0000396396D01* +X0000518100Y0000396396D01* +X0000519399Y0000396396D01* +X0000520600Y0000396396D01* +X0000521500Y0000396396D01* +X0000522300Y0000396396D01* +X0000522999Y0000396396D01* +X0000523600Y0000396296D01* +X0000523999Y0000396296D01* +X0000524299Y0000396296D01* +X0000524500Y0000396296D01* +X0000524599Y0000396296D01* +X0000524700Y0000396296D01* +X0000524700Y0000396196D01* +X0000524700Y0000395996D01* +X0000524599Y0000395596D01* +X0000524400Y0000395196D01* +X0000524299Y0000394796D01* +X0000524100Y0000394496D01* +X0000524100Y0000394496D01* +X0000523600Y0000393896D01* +X0000522999Y0000393296D01* +X0000522300Y0000392896D01* +X0000521400Y0000392496D01* +X0000520699Y0000392396D01* +X0000520199Y0000392296D01* +X0000519800Y0000392196D01* +X0000519200Y0000392196D01* +X0000518599Y0000392296D01* +X0000518500Y0000392296D01* +X0000517699Y0000392296D01* +X0000517100Y0000392396D01* +X0000516399Y0000392496D01* +X0000515799Y0000392796D01* +X0000514999Y0000393096D01* +X0000514900Y0000393096D01* +X0000514499Y0000393296D01* +X0000514199Y0000393396D01* +X0000513899Y0000393396D01* +X0000513899Y0000393396D01* +X0000513800Y0000393296D01* +X0000513699Y0000393096D01* +X0000513499Y0000392696D01* +X0000513200Y0000392296D01* +X0000512899Y0000391696D01* +X0000512899Y0000391696D01* +X0000512599Y0000391096D01* +X0000512400Y0000390596D01* +X0000512200Y0000390296D01* +X0000512100Y0000390096D01* +X0000512100Y0000389996D01* +X0000512100Y0000389896D01* +X0000512299Y0000389896D01* +X0000512500Y0000389696D01* +X0000512899Y0000389496D01* +X0000513200Y0000389396D01* +X0000514600Y0000388796D01* +X0000516099Y0000388296D01* +X0000517600Y0000387996D01* +X0000519099Y0000387796D01* +X0000520499Y0000387796D01* +X0000521200Y0000387796D01* +X0000522699Y0000387996D01* +X0000524100Y0000388396D01* +X0000525399Y0000388996D01* +X0000526600Y0000389696D01* +X0000527599Y0000390496D01* +X0000528500Y0000391396D01* +X0000529300Y0000392496D01* +X0000529900Y0000393696D01* +X0000530400Y0000394996D01* +X0000530599Y0000395996D01* +X0000530700Y0000396696D01* +X0000530700Y0000397596D01* +X0000530700Y0000398396D01* +X0000530700Y0000399296D01* +X0000530599Y0000399896D01* +X0000530400Y0000401196D01* +X0000529900Y0000402396D01* +X0000529300Y0000403596D01* +X0000528500Y0000404696D01* +X0000527599Y0000405596D01* +X0000526600Y0000406496D01* +X0000525399Y0000407196D01* +X0000524200Y0000407796D01* +X0000522899Y0000408196D01* +X0000522300Y0000408296D01* +X0000521899Y0000408296D01* +X0000521299Y0000408396D01* +X0000520699Y0000408396D01* +X0000520100Y0000408396D01* +X0000519499Y0000408396D01* +X0000519000Y0000408296D01* +X0000518799Y0000408296D01* +X0000517600Y0000408096D01* +X0000516399Y0000407696D01* +X0000515299Y0000407096D01* +X0000514399Y0000406496D01* +X0000513499Y0000405696D01* +X0000513300Y0000405396D01* +X0000512899Y0000404796D01* +X0000512500Y0000404096D01* +X0000512100Y0000403296D01* +X0000511799Y0000402496D01* +X0000511600Y0000401796D01* +X0000511499Y0000401396D01* +X0000511400Y0000401096D01* +X0000511400Y0000400796D01* +X0000511300Y0000400396D01* +X0000511300Y0000399896D01* +X0000511300Y0000399296D01* +X0000511300Y0000399096D01* +X0000511300Y0000399096D01* +X0000511300Y0000399096D01* +G37* +X0000511300Y0000399096D02* +X0000511300Y0000398496D01* +X0000511300Y0000397896D01* +X0000511300Y0000397396D01* +X0000511300Y0000396996D01* +X0000511400Y0000396796D01* +X0000511400Y0000396396D01* +X0000516599Y0000396396D01* +X0000516599Y0000400196D01* +X0000516599Y0000400596D01* +X0000516599Y0000401096D01* +X0000516699Y0000401596D01* +X0000516899Y0000401996D01* +X0000516999Y0000402396D01* +X0000517199Y0000402596D01* +X0000517400Y0000402896D01* +X0000517600Y0000402996D01* +X0000517999Y0000403396D01* +X0000518500Y0000403696D01* +X0000519099Y0000403796D01* +X0000519800Y0000403896D01* +X0000520100Y0000403896D01* +X0000520900Y0000403896D01* +X0000521599Y0000403796D01* +X0000522200Y0000403596D01* +X0000522599Y0000403396D01* +X0000522999Y0000403196D01* +X0000523499Y0000402796D01* +X0000523900Y0000402396D01* +X0000524200Y0000401996D01* +X0000524200Y0000401896D01* +X0000524299Y0000401696D01* +X0000524500Y0000401296D01* +X0000524599Y0000400896D01* +X0000524799Y0000400596D01* +X0000524799Y0000400396D01* +X0000524799Y0000400296D01* +X0000524700Y0000400296D01* +X0000524500Y0000400296D01* +X0000523999Y0000400196D01* +X0000523499Y0000400196D01* +X0000522800Y0000400196D01* +X0000522000Y0000400196D01* +X0000521200Y0000400196D01* +X0000520699Y0000400196D01* +X0000516599Y0000400196D01* +X0000516599Y0000396396D01* +X0000518100Y0000396396D01* +X0000519399Y0000396396D01* +X0000520600Y0000396396D01* +X0000521500Y0000396396D01* +X0000522300Y0000396396D01* +X0000522999Y0000396396D01* +X0000523600Y0000396296D01* +X0000523999Y0000396296D01* +X0000524299Y0000396296D01* +X0000524500Y0000396296D01* +X0000524599Y0000396296D01* +X0000524700Y0000396296D01* +X0000524700Y0000396196D01* +X0000524700Y0000395996D01* +X0000524599Y0000395596D01* +X0000524400Y0000395196D01* +X0000524299Y0000394796D01* +X0000524100Y0000394496D01* +X0000524100Y0000394496D01* +X0000523600Y0000393896D01* +X0000522999Y0000393296D01* +X0000522300Y0000392896D01* +X0000521400Y0000392496D01* +X0000520699Y0000392396D01* +X0000520199Y0000392296D01* +X0000519800Y0000392196D01* +X0000519200Y0000392196D01* +X0000518599Y0000392296D01* +X0000518500Y0000392296D01* +X0000517699Y0000392296D01* +X0000517100Y0000392396D01* +X0000516399Y0000392496D01* +X0000515799Y0000392796D01* +X0000514999Y0000393096D01* +X0000514900Y0000393096D01* +X0000514499Y0000393296D01* +X0000514199Y0000393396D01* +X0000513899Y0000393396D01* +X0000513899Y0000393396D01* +X0000513800Y0000393296D01* +X0000513699Y0000393096D01* +X0000513499Y0000392696D01* +X0000513200Y0000392296D01* +X0000512899Y0000391696D01* +X0000512899Y0000391696D01* +X0000512599Y0000391096D01* +X0000512400Y0000390596D01* +X0000512200Y0000390296D01* +X0000512100Y0000390096D01* +X0000512100Y0000389996D01* +X0000512100Y0000389896D01* +X0000512299Y0000389896D01* +X0000512500Y0000389696D01* +X0000512899Y0000389496D01* +X0000513200Y0000389396D01* +X0000514600Y0000388796D01* +X0000516099Y0000388296D01* +X0000517600Y0000387996D01* +X0000519099Y0000387796D01* +X0000520499Y0000387796D01* +X0000521200Y0000387796D01* +X0000522699Y0000387996D01* +X0000524100Y0000388396D01* +X0000525399Y0000388996D01* +X0000526600Y0000389696D01* +X0000527599Y0000390496D01* +X0000528500Y0000391396D01* +X0000529300Y0000392496D01* +X0000529900Y0000393696D01* +X0000530400Y0000394996D01* +X0000530599Y0000395996D01* +X0000530700Y0000396696D01* +X0000530700Y0000397596D01* +X0000530700Y0000398396D01* +X0000530700Y0000399296D01* +X0000530599Y0000399896D01* +X0000530400Y0000401196D01* +X0000529900Y0000402396D01* +X0000529300Y0000403596D01* +X0000528500Y0000404696D01* +X0000527599Y0000405596D01* +X0000526600Y0000406496D01* +X0000525399Y0000407196D01* +X0000524200Y0000407796D01* +X0000522899Y0000408196D01* +X0000522300Y0000408296D01* +X0000521899Y0000408296D01* +X0000521299Y0000408396D01* +X0000520699Y0000408396D01* +X0000520100Y0000408396D01* +X0000519499Y0000408396D01* +X0000519000Y0000408296D01* +X0000518799Y0000408296D01* +X0000517600Y0000408096D01* +X0000516399Y0000407696D01* +X0000515299Y0000407096D01* +X0000514399Y0000406496D01* +X0000513499Y0000405696D01* +X0000513300Y0000405396D01* +X0000512899Y0000404796D01* +X0000512500Y0000404096D01* +X0000512100Y0000403296D01* +X0000511799Y0000402496D01* +X0000511600Y0000401796D01* +X0000511499Y0000401396D01* +X0000511400Y0000401096D01* +X0000511400Y0000400796D01* +X0000511300Y0000400396D01* +X0000511300Y0000399896D01* +X0000511300Y0000399296D01* +X0000511300Y0000399096D01* +X0000511300Y0000399096D01* +G36* +X0000845400Y0000388196D02* +X0000853999Y0000388196D01* +X0000862699Y0000388196D01* +X0000862699Y0000401196D01* +X0000862699Y0000414196D01* +X0000859800Y0000414196D01* +X0000856900Y0000414196D01* +X0000856900Y0000403696D01* +X0000856900Y0000393096D01* +X0000851100Y0000393096D01* +X0000845400Y0000393096D01* +X0000845400Y0000390696D01* +X0000845400Y0000388196D01* +X0000845400Y0000388196D01* +X0000845400Y0000388196D01* +G37* +X0000845400Y0000388196D02* +X0000853999Y0000388196D01* +X0000862699Y0000388196D01* +X0000862699Y0000401196D01* +X0000862699Y0000414196D01* +X0000859800Y0000414196D01* +X0000856900Y0000414196D01* +X0000856900Y0000403696D01* +X0000856900Y0000393096D01* +X0000851100Y0000393096D01* +X0000845400Y0000393096D01* +X0000845400Y0000390696D01* +X0000845400Y0000388196D01* +X0000845400Y0000388196D01* +G36* +X0000797799Y0000388196D02* +X0000800700Y0000388196D01* +X0000803600Y0000388196D01* +X0000803600Y0000394296D01* +X0000803600Y0000395596D01* +X0000803600Y0000396796D01* +X0000803600Y0000397896D01* +X0000803600Y0000398796D01* +X0000803600Y0000399596D01* +X0000803700Y0000400196D01* +X0000803700Y0000400696D01* +X0000803700Y0000400996D01* +X0000803700Y0000400996D01* +X0000803900Y0000401796D01* +X0000804200Y0000402396D01* +X0000804599Y0000402896D01* +X0000805199Y0000403196D01* +X0000805800Y0000403396D01* +X0000806600Y0000403396D01* +X0000806900Y0000403396D01* +X0000807700Y0000403296D01* +X0000808499Y0000402996D01* +X0000809200Y0000402496D01* +X0000809799Y0000402096D01* +X0000810500Y0000401496D01* +X0000810500Y0000394896D01* +X0000810500Y0000388196D01* +X0000813500Y0000388196D01* +X0000816399Y0000388196D01* +X0000816399Y0000398096D01* +X0000816399Y0000407996D01* +X0000814000Y0000407996D01* +X0000811600Y0000407996D01* +X0000811399Y0000406696D01* +X0000811300Y0000406196D01* +X0000811199Y0000405796D01* +X0000811199Y0000405496D01* +X0000811100Y0000405396D01* +X0000811100Y0000405296D01* +X0000811000Y0000405396D01* +X0000810800Y0000405496D01* +X0000810599Y0000405696D01* +X0000810500Y0000405796D01* +X0000809499Y0000406596D01* +X0000808600Y0000407196D01* +X0000807800Y0000407696D01* +X0000806900Y0000407996D01* +X0000805999Y0000408296D01* +X0000805000Y0000408396D01* +X0000804099Y0000408396D01* +X0000802999Y0000408296D01* +X0000801899Y0000408096D01* +X0000801000Y0000407696D01* +X0000800200Y0000407196D01* +X0000799499Y0000406596D01* +X0000799000Y0000405696D01* +X0000798500Y0000404696D01* +X0000798099Y0000403596D01* +X0000797799Y0000402596D01* +X0000797799Y0000395396D01* +X0000797799Y0000388196D01* +X0000797799Y0000388196D01* +X0000797799Y0000388196D01* +G37* +X0000797799Y0000388196D02* +X0000800700Y0000388196D01* +X0000803600Y0000388196D01* +X0000803600Y0000394296D01* +X0000803600Y0000395596D01* +X0000803600Y0000396796D01* +X0000803600Y0000397896D01* +X0000803600Y0000398796D01* +X0000803600Y0000399596D01* +X0000803700Y0000400196D01* +X0000803700Y0000400696D01* +X0000803700Y0000400996D01* +X0000803700Y0000400996D01* +X0000803900Y0000401796D01* +X0000804200Y0000402396D01* +X0000804599Y0000402896D01* +X0000805199Y0000403196D01* +X0000805800Y0000403396D01* +X0000806600Y0000403396D01* +X0000806900Y0000403396D01* +X0000807700Y0000403296D01* +X0000808499Y0000402996D01* +X0000809200Y0000402496D01* +X0000809799Y0000402096D01* +X0000810500Y0000401496D01* +X0000810500Y0000394896D01* +X0000810500Y0000388196D01* +X0000813500Y0000388196D01* +X0000816399Y0000388196D01* +X0000816399Y0000398096D01* +X0000816399Y0000407996D01* +X0000814000Y0000407996D01* +X0000811600Y0000407996D01* +X0000811399Y0000406696D01* +X0000811300Y0000406196D01* +X0000811199Y0000405796D01* +X0000811199Y0000405496D01* +X0000811100Y0000405396D01* +X0000811100Y0000405296D01* +X0000811000Y0000405396D01* +X0000810800Y0000405496D01* +X0000810599Y0000405696D01* +X0000810500Y0000405796D01* +X0000809499Y0000406596D01* +X0000808600Y0000407196D01* +X0000807800Y0000407696D01* +X0000806900Y0000407996D01* +X0000805999Y0000408296D01* +X0000805000Y0000408396D01* +X0000804099Y0000408396D01* +X0000802999Y0000408296D01* +X0000801899Y0000408096D01* +X0000801000Y0000407696D01* +X0000800200Y0000407196D01* +X0000799499Y0000406596D01* +X0000799000Y0000405696D01* +X0000798500Y0000404696D01* +X0000798099Y0000403596D01* +X0000797799Y0000402596D01* +X0000797799Y0000395396D01* +X0000797799Y0000388196D01* +X0000797799Y0000388196D01* +G36* +X0000750200Y0000388196D02* +X0000753099Y0000388196D01* +X0000756000Y0000388196D01* +X0000756000Y0000393596D01* +X0000756000Y0000398996D01* +X0000759599Y0000398996D01* +X0000763100Y0000398996D01* +X0000763100Y0000393596D01* +X0000763100Y0000388196D01* +X0000766000Y0000388196D01* +X0000768899Y0000388196D01* +X0000768899Y0000401196D01* +X0000768899Y0000414196D01* +X0000766000Y0000414196D01* +X0000763100Y0000414196D01* +X0000763100Y0000409196D01* +X0000763100Y0000404096D01* +X0000759599Y0000404096D01* +X0000756000Y0000404096D01* +X0000756000Y0000409196D01* +X0000756000Y0000414196D01* +X0000753099Y0000414196D01* +X0000750200Y0000414196D01* +X0000750200Y0000401196D01* +X0000750200Y0000388196D01* +X0000750200Y0000388196D01* +X0000750200Y0000388196D01* +G37* +X0000750200Y0000388196D02* +X0000753099Y0000388196D01* +X0000756000Y0000388196D01* +X0000756000Y0000393596D01* +X0000756000Y0000398996D01* +X0000759599Y0000398996D01* +X0000763100Y0000398996D01* +X0000763100Y0000393596D01* +X0000763100Y0000388196D01* +X0000766000Y0000388196D01* +X0000768899Y0000388196D01* +X0000768899Y0000401196D01* +X0000768899Y0000414196D01* +X0000766000Y0000414196D01* +X0000763100Y0000414196D01* +X0000763100Y0000409196D01* +X0000763100Y0000404096D01* +X0000759599Y0000404096D01* +X0000756000Y0000404096D01* +X0000756000Y0000409196D01* +X0000756000Y0000414196D01* +X0000753099Y0000414196D01* +X0000750200Y0000414196D01* +X0000750200Y0000401196D01* +X0000750200Y0000388196D01* +X0000750200Y0000388196D01* +G36* +X0000706900Y0000388196D02* +X0000709800Y0000388196D01* +X0000712699Y0000388196D01* +X0000712699Y0000395796D01* +X0000712699Y0000403396D01* +X0000716700Y0000403396D01* +X0000720700Y0000403396D01* +X0000720700Y0000405696D01* +X0000720700Y0000407996D01* +X0000713799Y0000407996D01* +X0000706900Y0000407996D01* +X0000706900Y0000398096D01* +X0000706900Y0000388196D01* +X0000706900Y0000388196D01* +X0000706900Y0000388196D01* +G37* +X0000706900Y0000388196D02* +X0000709800Y0000388196D01* +X0000712699Y0000388196D01* +X0000712699Y0000395796D01* +X0000712699Y0000403396D01* +X0000716700Y0000403396D01* +X0000720700Y0000403396D01* +X0000720700Y0000405696D01* +X0000720700Y0000407996D01* +X0000713799Y0000407996D01* +X0000706900Y0000407996D01* +X0000706900Y0000398096D01* +X0000706900Y0000388196D01* +X0000706900Y0000388196D01* +G36* +X0000678099Y0000407596D02* +X0000678099Y0000407496D01* +X0000678200Y0000407296D01* +X0000678300Y0000406896D01* +X0000678399Y0000406396D01* +X0000678599Y0000405796D01* +X0000678599Y0000405396D01* +X0000678800Y0000404796D01* +X0000678899Y0000404196D01* +X0000679100Y0000403696D01* +X0000679199Y0000403296D01* +X0000679199Y0000402996D01* +X0000679199Y0000402996D01* +X0000679300Y0000402796D01* +X0000679499Y0000402796D01* +X0000679499Y0000402796D01* +X0000680400Y0000402996D01* +X0000681399Y0000403196D01* +X0000682400Y0000403296D01* +X0000683299Y0000403196D01* +X0000684000Y0000403196D01* +X0000684300Y0000403096D01* +X0000685400Y0000402796D01* +X0000686299Y0000402296D01* +X0000687200Y0000401596D01* +X0000688000Y0000400696D01* +X0000688800Y0000399696D01* +X0000688999Y0000399396D01* +X0000689400Y0000398596D01* +X0000689400Y0000393396D01* +X0000689400Y0000388196D01* +X0000692299Y0000388196D01* +X0000693200Y0000388196D01* +X0000693899Y0000388196D01* +X0000694499Y0000388196D01* +X0000694800Y0000388196D01* +X0000695099Y0000388296D01* +X0000695200Y0000388296D01* +X0000695299Y0000388296D01* +X0000695299Y0000388396D01* +X0000695299Y0000388696D01* +X0000695299Y0000389196D01* +X0000695299Y0000389796D01* +X0000695299Y0000390596D01* +X0000695299Y0000391496D01* +X0000695299Y0000392496D01* +X0000695299Y0000393596D01* +X0000695299Y0000394796D01* +X0000695299Y0000396096D01* +X0000695299Y0000397396D01* +X0000695299Y0000398196D01* +X0000695299Y0000407896D01* +X0000692900Y0000407996D01* +X0000692200Y0000407996D01* +X0000691600Y0000407996D01* +X0000691199Y0000407996D01* +X0000690800Y0000407896D01* +X0000690599Y0000407896D01* +X0000690500Y0000407896D01* +X0000690500Y0000407696D01* +X0000690500Y0000407396D01* +X0000690399Y0000406996D01* +X0000690399Y0000406396D01* +X0000690300Y0000405896D01* +X0000690200Y0000405296D01* +X0000690200Y0000404796D01* +X0000690099Y0000404396D01* +X0000690099Y0000403996D01* +X0000690000Y0000403796D01* +X0000690000Y0000403796D01* +X0000690000Y0000403696D01* +X0000689900Y0000403796D01* +X0000689799Y0000403896D01* +X0000689599Y0000404196D01* +X0000688999Y0000404996D01* +X0000688300Y0000405796D01* +X0000687500Y0000406496D01* +X0000686900Y0000406896D01* +X0000686400Y0000407196D01* +X0000685800Y0000407496D01* +X0000685199Y0000407796D01* +X0000684599Y0000407996D01* +X0000684200Y0000408096D01* +X0000683599Y0000408296D01* +X0000682800Y0000408296D01* +X0000682000Y0000408396D01* +X0000681200Y0000408396D01* +X0000680499Y0000408296D01* +X0000679900Y0000408296D01* +X0000679600Y0000408196D01* +X0000679400Y0000408096D01* +X0000679100Y0000407996D01* +X0000678699Y0000407896D01* +X0000678399Y0000407796D01* +X0000678200Y0000407696D01* +X0000678099Y0000407596D01* +X0000678099Y0000407596D01* +X0000678099Y0000407596D01* +G37* +X0000678099Y0000407596D02* +X0000678099Y0000407496D01* +X0000678200Y0000407296D01* +X0000678300Y0000406896D01* +X0000678399Y0000406396D01* +X0000678599Y0000405796D01* +X0000678599Y0000405396D01* +X0000678800Y0000404796D01* +X0000678899Y0000404196D01* +X0000679100Y0000403696D01* +X0000679199Y0000403296D01* +X0000679199Y0000402996D01* +X0000679199Y0000402996D01* +X0000679300Y0000402796D01* +X0000679499Y0000402796D01* +X0000679499Y0000402796D01* +X0000680400Y0000402996D01* +X0000681399Y0000403196D01* +X0000682400Y0000403296D01* +X0000683299Y0000403196D01* +X0000684000Y0000403196D01* +X0000684300Y0000403096D01* +X0000685400Y0000402796D01* +X0000686299Y0000402296D01* +X0000687200Y0000401596D01* +X0000688000Y0000400696D01* +X0000688800Y0000399696D01* +X0000688999Y0000399396D01* +X0000689400Y0000398596D01* +X0000689400Y0000393396D01* +X0000689400Y0000388196D01* +X0000692299Y0000388196D01* +X0000693200Y0000388196D01* +X0000693899Y0000388196D01* +X0000694499Y0000388196D01* +X0000694800Y0000388196D01* +X0000695099Y0000388296D01* +X0000695200Y0000388296D01* +X0000695299Y0000388296D01* +X0000695299Y0000388396D01* +X0000695299Y0000388696D01* +X0000695299Y0000389196D01* +X0000695299Y0000389796D01* +X0000695299Y0000390596D01* +X0000695299Y0000391496D01* +X0000695299Y0000392496D01* +X0000695299Y0000393596D01* +X0000695299Y0000394796D01* +X0000695299Y0000396096D01* +X0000695299Y0000397396D01* +X0000695299Y0000398196D01* +X0000695299Y0000407896D01* +X0000692900Y0000407996D01* +X0000692200Y0000407996D01* +X0000691600Y0000407996D01* +X0000691199Y0000407996D01* +X0000690800Y0000407896D01* +X0000690599Y0000407896D01* +X0000690500Y0000407896D01* +X0000690500Y0000407696D01* +X0000690500Y0000407396D01* +X0000690399Y0000406996D01* +X0000690399Y0000406396D01* +X0000690300Y0000405896D01* +X0000690200Y0000405296D01* +X0000690200Y0000404796D01* +X0000690099Y0000404396D01* +X0000690099Y0000403996D01* +X0000690000Y0000403796D01* +X0000690000Y0000403796D01* +X0000690000Y0000403696D01* +X0000689900Y0000403796D01* +X0000689799Y0000403896D01* +X0000689599Y0000404196D01* +X0000688999Y0000404996D01* +X0000688300Y0000405796D01* +X0000687500Y0000406496D01* +X0000686900Y0000406896D01* +X0000686400Y0000407196D01* +X0000685800Y0000407496D01* +X0000685199Y0000407796D01* +X0000684599Y0000407996D01* +X0000684200Y0000408096D01* +X0000683599Y0000408296D01* +X0000682800Y0000408296D01* +X0000682000Y0000408396D01* +X0000681200Y0000408396D01* +X0000680499Y0000408296D01* +X0000679900Y0000408296D01* +X0000679600Y0000408196D01* +X0000679400Y0000408096D01* +X0000679100Y0000407996D01* +X0000678699Y0000407896D01* +X0000678399Y0000407796D01* +X0000678200Y0000407696D01* +X0000678099Y0000407596D01* +X0000678099Y0000407596D01* +G36* +X0000607100Y0000388196D02* +X0000610000Y0000388196D01* +X0000612899Y0000388196D01* +X0000612899Y0000393596D01* +X0000612899Y0000398996D01* +X0000616400Y0000398996D01* +X0000619999Y0000398996D01* +X0000619999Y0000393596D01* +X0000619999Y0000388196D01* +X0000622999Y0000388196D01* +X0000625900Y0000388196D01* +X0000625900Y0000401196D01* +X0000625900Y0000414196D01* +X0000622999Y0000414196D01* +X0000619999Y0000414096D01* +X0000619999Y0000409096D01* +X0000619999Y0000404096D01* +X0000616499Y0000404196D01* +X0000612899Y0000404196D01* +X0000612899Y0000409196D01* +X0000612899Y0000414196D01* +X0000610000Y0000414196D01* +X0000607100Y0000414196D01* +X0000607100Y0000401196D01* +X0000607100Y0000388196D01* +X0000607100Y0000388196D01* +X0000607100Y0000388196D01* +G37* +X0000607100Y0000388196D02* +X0000610000Y0000388196D01* +X0000612899Y0000388196D01* +X0000612899Y0000393596D01* +X0000612899Y0000398996D01* +X0000616400Y0000398996D01* +X0000619999Y0000398996D01* +X0000619999Y0000393596D01* +X0000619999Y0000388196D01* +X0000622999Y0000388196D01* +X0000625900Y0000388196D01* +X0000625900Y0000401196D01* +X0000625900Y0000414196D01* +X0000622999Y0000414196D01* +X0000619999Y0000414096D01* +X0000619999Y0000409096D01* +X0000619999Y0000404096D01* +X0000616499Y0000404196D01* +X0000612899Y0000404196D01* +X0000612899Y0000409196D01* +X0000612899Y0000414196D01* +X0000610000Y0000414196D01* +X0000607100Y0000414196D01* +X0000607100Y0000401196D01* +X0000607100Y0000388196D01* +X0000607100Y0000388196D01* +G36* +X0000533599Y0000388196D02* +X0000533700Y0000388196D01* +X0000534000Y0000388196D01* +X0000534399Y0000388196D01* +X0000534899Y0000388196D01* +X0000535600Y0000388196D01* +X0000536299Y0000388196D01* +X0000536700Y0000388196D01* +X0000539899Y0000388196D01* +X0000542400Y0000392096D01* +X0000542899Y0000392896D01* +X0000543399Y0000393596D01* +X0000543800Y0000394296D01* +X0000544199Y0000394896D01* +X0000544499Y0000395396D01* +X0000544699Y0000395796D01* +X0000544900Y0000395996D01* +X0000544999Y0000396096D01* +X0000544999Y0000396096D01* +X0000545299Y0000395896D01* +X0000545599Y0000395596D01* +X0000546000Y0000395196D01* +X0000546500Y0000394696D01* +X0000547999Y0000393196D01* +X0000547999Y0000390696D01* +X0000547999Y0000388196D01* +X0000550900Y0000388196D01* +X0000553799Y0000388196D01* +X0000553799Y0000402196D01* +X0000553799Y0000416196D01* +X0000550900Y0000416196D01* +X0000547999Y0000416196D01* +X0000547999Y0000408096D01* +X0000547999Y0000406596D01* +X0000547999Y0000405296D01* +X0000547999Y0000404096D01* +X0000547999Y0000403096D01* +X0000547999Y0000402296D01* +X0000547999Y0000401596D01* +X0000547900Y0000401096D01* +X0000547900Y0000400696D01* +X0000547900Y0000400396D01* +X0000547900Y0000400196D01* +X0000547900Y0000400096D01* +X0000547900Y0000399996D01* +X0000547799Y0000400096D01* +X0000547600Y0000400296D01* +X0000547199Y0000400596D01* +X0000546800Y0000401096D01* +X0000546399Y0000401596D01* +X0000545799Y0000402196D01* +X0000545200Y0000402896D01* +X0000544499Y0000403596D01* +X0000544100Y0000403996D01* +X0000540500Y0000407996D01* +X0000537399Y0000407996D01* +X0000536599Y0000407996D01* +X0000535900Y0000407996D01* +X0000535300Y0000407996D01* +X0000534800Y0000407996D01* +X0000534399Y0000407996D01* +X0000534200Y0000407996D01* +X0000534200Y0000407896D01* +X0000534300Y0000407896D01* +X0000534399Y0000407696D01* +X0000534699Y0000407296D01* +X0000535100Y0000406896D01* +X0000535600Y0000406396D01* +X0000536200Y0000405796D01* +X0000536799Y0000405096D01* +X0000537399Y0000404396D01* +X0000537899Y0000403896D01* +X0000538600Y0000403096D01* +X0000539200Y0000402396D01* +X0000539799Y0000401796D01* +X0000540300Y0000401196D01* +X0000540800Y0000400696D01* +X0000541100Y0000400296D01* +X0000541400Y0000399996D01* +X0000541499Y0000399796D01* +X0000541499Y0000399796D01* +X0000541499Y0000399696D01* +X0000541300Y0000399396D01* +X0000541100Y0000398996D01* +X0000540699Y0000398496D01* +X0000540300Y0000397896D01* +X0000539799Y0000397196D01* +X0000539299Y0000396396D01* +X0000538699Y0000395596D01* +X0000537999Y0000394696D01* +X0000537500Y0000393996D01* +X0000536899Y0000392996D01* +X0000536299Y0000392096D01* +X0000535699Y0000391296D01* +X0000535199Y0000390496D01* +X0000534699Y0000389896D01* +X0000534300Y0000389296D01* +X0000534000Y0000388796D01* +X0000533799Y0000388496D01* +X0000533599Y0000388296D01* +X0000533599Y0000388196D01* +X0000533599Y0000388196D01* +X0000533599Y0000388196D01* +G37* +X0000533599Y0000388196D02* +X0000533700Y0000388196D01* +X0000534000Y0000388196D01* +X0000534399Y0000388196D01* +X0000534899Y0000388196D01* +X0000535600Y0000388196D01* +X0000536299Y0000388196D01* +X0000536700Y0000388196D01* +X0000539899Y0000388196D01* +X0000542400Y0000392096D01* +X0000542899Y0000392896D01* +X0000543399Y0000393596D01* +X0000543800Y0000394296D01* +X0000544199Y0000394896D01* +X0000544499Y0000395396D01* +X0000544699Y0000395796D01* +X0000544900Y0000395996D01* +X0000544999Y0000396096D01* +X0000544999Y0000396096D01* +X0000545299Y0000395896D01* +X0000545599Y0000395596D01* +X0000546000Y0000395196D01* +X0000546500Y0000394696D01* +X0000547999Y0000393196D01* +X0000547999Y0000390696D01* +X0000547999Y0000388196D01* +X0000550900Y0000388196D01* +X0000553799Y0000388196D01* +X0000553799Y0000402196D01* +X0000553799Y0000416196D01* +X0000550900Y0000416196D01* +X0000547999Y0000416196D01* +X0000547999Y0000408096D01* +X0000547999Y0000406596D01* +X0000547999Y0000405296D01* +X0000547999Y0000404096D01* +X0000547999Y0000403096D01* +X0000547999Y0000402296D01* +X0000547999Y0000401596D01* +X0000547900Y0000401096D01* +X0000547900Y0000400696D01* +X0000547900Y0000400396D01* +X0000547900Y0000400196D01* +X0000547900Y0000400096D01* +X0000547900Y0000399996D01* +X0000547799Y0000400096D01* +X0000547600Y0000400296D01* +X0000547199Y0000400596D01* +X0000546800Y0000401096D01* +X0000546399Y0000401596D01* +X0000545799Y0000402196D01* +X0000545200Y0000402896D01* +X0000544499Y0000403596D01* +X0000544100Y0000403996D01* +X0000540500Y0000407996D01* +X0000537399Y0000407996D01* +X0000536599Y0000407996D01* +X0000535900Y0000407996D01* +X0000535300Y0000407996D01* +X0000534800Y0000407996D01* +X0000534399Y0000407996D01* +X0000534200Y0000407996D01* +X0000534200Y0000407896D01* +X0000534300Y0000407896D01* +X0000534399Y0000407696D01* +X0000534699Y0000407296D01* +X0000535100Y0000406896D01* +X0000535600Y0000406396D01* +X0000536200Y0000405796D01* +X0000536799Y0000405096D01* +X0000537399Y0000404396D01* +X0000537899Y0000403896D01* +X0000538600Y0000403096D01* +X0000539200Y0000402396D01* +X0000539799Y0000401796D01* +X0000540300Y0000401196D01* +X0000540800Y0000400696D01* +X0000541100Y0000400296D01* +X0000541400Y0000399996D01* +X0000541499Y0000399796D01* +X0000541499Y0000399796D01* +X0000541499Y0000399696D01* +X0000541300Y0000399396D01* +X0000541100Y0000398996D01* +X0000540699Y0000398496D01* +X0000540300Y0000397896D01* +X0000539799Y0000397196D01* +X0000539299Y0000396396D01* +X0000538699Y0000395596D01* +X0000537999Y0000394696D01* +X0000537500Y0000393996D01* +X0000536899Y0000392996D01* +X0000536299Y0000392096D01* +X0000535699Y0000391296D01* +X0000535199Y0000390496D01* +X0000534699Y0000389896D01* +X0000534300Y0000389296D01* +X0000534000Y0000388796D01* +X0000533799Y0000388496D01* +X0000533599Y0000388296D01* +X0000533599Y0000388196D01* +X0000533599Y0000388196D01* +G36* +X0000487400Y0000407596D02* +X0000487400Y0000407296D01* +X0000487499Y0000406896D01* +X0000487600Y0000406396D01* +X0000487700Y0000405896D01* +X0000487799Y0000405296D01* +X0000487999Y0000404696D01* +X0000488099Y0000404096D01* +X0000488299Y0000403596D01* +X0000488400Y0000403196D01* +X0000488500Y0000402996D01* +X0000488599Y0000402796D01* +X0000488599Y0000402796D01* +X0000488700Y0000402896D01* +X0000489000Y0000402896D01* +X0000489399Y0000402996D01* +X0000489800Y0000403096D01* +X0000490300Y0000403196D01* +X0000490700Y0000403196D01* +X0000491200Y0000403196D01* +X0000491799Y0000403196D01* +X0000492099Y0000403196D01* +X0000492600Y0000403196D01* +X0000493100Y0000403196D01* +X0000493400Y0000403096D01* +X0000493700Y0000402996D01* +X0000494099Y0000402896D01* +X0000494200Y0000402896D01* +X0000495000Y0000402496D01* +X0000495800Y0000402096D01* +X0000496400Y0000401596D01* +X0000496799Y0000401196D01* +X0000497500Y0000400396D01* +X0000498000Y0000399596D01* +X0000498399Y0000398996D01* +X0000498600Y0000398396D01* +X0000498600Y0000393296D01* +X0000498600Y0000388196D01* +X0000501499Y0000388196D01* +X0000504399Y0000388196D01* +X0000504399Y0000398096D01* +X0000504399Y0000407996D01* +X0000502100Y0000407996D01* +X0000499700Y0000407996D01* +X0000499700Y0000407696D01* +X0000499600Y0000407496D01* +X0000499600Y0000407096D01* +X0000499499Y0000406596D01* +X0000499499Y0000406096D01* +X0000499400Y0000405496D01* +X0000499300Y0000404796D01* +X0000499300Y0000404296D01* +X0000499199Y0000403896D01* +X0000499199Y0000403696D01* +X0000499100Y0000403596D01* +X0000499100Y0000403696D01* +X0000498999Y0000403696D01* +X0000498899Y0000403896D01* +X0000498699Y0000404196D01* +X0000498399Y0000404596D01* +X0000498099Y0000404996D01* +X0000497799Y0000405396D01* +X0000497400Y0000405796D01* +X0000497200Y0000405996D01* +X0000496199Y0000406796D01* +X0000495099Y0000407496D01* +X0000493900Y0000407996D01* +X0000492699Y0000408296D01* +X0000491299Y0000408396D01* +X0000490100Y0000408396D01* +X0000489600Y0000408296D01* +X0000489000Y0000408196D01* +X0000488400Y0000408096D01* +X0000487900Y0000407896D01* +X0000487499Y0000407796D01* +X0000487400Y0000407696D01* +X0000487400Y0000407596D01* +X0000487400Y0000407596D01* +X0000487400Y0000407596D01* +G37* +X0000487400Y0000407596D02* +X0000487400Y0000407296D01* +X0000487499Y0000406896D01* +X0000487600Y0000406396D01* +X0000487700Y0000405896D01* +X0000487799Y0000405296D01* +X0000487999Y0000404696D01* +X0000488099Y0000404096D01* +X0000488299Y0000403596D01* +X0000488400Y0000403196D01* +X0000488500Y0000402996D01* +X0000488599Y0000402796D01* +X0000488599Y0000402796D01* +X0000488700Y0000402896D01* +X0000489000Y0000402896D01* +X0000489399Y0000402996D01* +X0000489800Y0000403096D01* +X0000490300Y0000403196D01* +X0000490700Y0000403196D01* +X0000491200Y0000403196D01* +X0000491799Y0000403196D01* +X0000492099Y0000403196D01* +X0000492600Y0000403196D01* +X0000493100Y0000403196D01* +X0000493400Y0000403096D01* +X0000493700Y0000402996D01* +X0000494099Y0000402896D01* +X0000494200Y0000402896D01* +X0000495000Y0000402496D01* +X0000495800Y0000402096D01* +X0000496400Y0000401596D01* +X0000496799Y0000401196D01* +X0000497500Y0000400396D01* +X0000498000Y0000399596D01* +X0000498399Y0000398996D01* +X0000498600Y0000398396D01* +X0000498600Y0000393296D01* +X0000498600Y0000388196D01* +X0000501499Y0000388196D01* +X0000504399Y0000388196D01* +X0000504399Y0000398096D01* +X0000504399Y0000407996D01* +X0000502100Y0000407996D01* +X0000499700Y0000407996D01* +X0000499700Y0000407696D01* +X0000499600Y0000407496D01* +X0000499600Y0000407096D01* +X0000499499Y0000406596D01* +X0000499499Y0000406096D01* +X0000499400Y0000405496D01* +X0000499300Y0000404796D01* +X0000499300Y0000404296D01* +X0000499199Y0000403896D01* +X0000499199Y0000403696D01* +X0000499100Y0000403596D01* +X0000499100Y0000403696D01* +X0000498999Y0000403696D01* +X0000498899Y0000403896D01* +X0000498699Y0000404196D01* +X0000498399Y0000404596D01* +X0000498099Y0000404996D01* +X0000497799Y0000405396D01* +X0000497400Y0000405796D01* +X0000497200Y0000405996D01* +X0000496199Y0000406796D01* +X0000495099Y0000407496D01* +X0000493900Y0000407996D01* +X0000492699Y0000408296D01* +X0000491299Y0000408396D01* +X0000490100Y0000408396D01* +X0000489600Y0000408296D01* +X0000489000Y0000408196D01* +X0000488400Y0000408096D01* +X0000487900Y0000407896D01* +X0000487499Y0000407796D01* +X0000487400Y0000407696D01* +X0000487400Y0000407596D01* +X0000487400Y0000407596D01* +G36* +X0000706199Y0000413996D02* +X0000706300Y0000413396D01* +X0000706300Y0000413296D01* +X0000706699Y0000412596D01* +X0000707100Y0000411996D01* +X0000707799Y0000411496D01* +X0000708500Y0000411096D01* +X0000708500Y0000411096D01* +X0000709000Y0000410996D01* +X0000709699Y0000410896D01* +X0000710299Y0000410896D01* +X0000710900Y0000410896D01* +X0000711200Y0000410996D01* +X0000712000Y0000411296D01* +X0000712699Y0000411696D01* +X0000713299Y0000412296D01* +X0000713700Y0000412996D01* +X0000713700Y0000412996D01* +X0000713799Y0000413396D01* +X0000713900Y0000413996D01* +X0000713900Y0000414696D01* +X0000713799Y0000415296D01* +X0000713700Y0000415696D01* +X0000713400Y0000416296D01* +X0000712999Y0000416896D01* +X0000712399Y0000417296D01* +X0000711899Y0000417696D01* +X0000711599Y0000417796D01* +X0000711399Y0000417896D01* +X0000711099Y0000417896D01* +X0000710799Y0000417996D01* +X0000710400Y0000417996D01* +X0000710100Y0000417996D01* +X0000709499Y0000417996D01* +X0000709199Y0000417996D01* +X0000708899Y0000417896D01* +X0000708700Y0000417896D01* +X0000708399Y0000417796D01* +X0000708300Y0000417696D01* +X0000707700Y0000417396D01* +X0000707100Y0000416896D01* +X0000706699Y0000416296D01* +X0000706499Y0000415996D01* +X0000706300Y0000415396D01* +X0000706199Y0000414696D01* +X0000706199Y0000413996D01* +X0000706199Y0000413996D01* +X0000706199Y0000413996D01* +G37* +X0000706199Y0000413996D02* +X0000706300Y0000413396D01* +X0000706300Y0000413296D01* +X0000706699Y0000412596D01* +X0000707100Y0000411996D01* +X0000707799Y0000411496D01* +X0000708500Y0000411096D01* +X0000708500Y0000411096D01* +X0000709000Y0000410996D01* +X0000709699Y0000410896D01* +X0000710299Y0000410896D01* +X0000710900Y0000410896D01* +X0000711200Y0000410996D01* +X0000712000Y0000411296D01* +X0000712699Y0000411696D01* +X0000713299Y0000412296D01* +X0000713700Y0000412996D01* +X0000713700Y0000412996D01* +X0000713799Y0000413396D01* +X0000713900Y0000413996D01* +X0000713900Y0000414696D01* +X0000713799Y0000415296D01* +X0000713700Y0000415696D01* +X0000713400Y0000416296D01* +X0000712999Y0000416896D01* +X0000712399Y0000417296D01* +X0000711899Y0000417696D01* +X0000711599Y0000417796D01* +X0000711399Y0000417896D01* +X0000711099Y0000417896D01* +X0000710799Y0000417996D01* +X0000710400Y0000417996D01* +X0000710100Y0000417996D01* +X0000709499Y0000417996D01* +X0000709199Y0000417996D01* +X0000708899Y0000417896D01* +X0000708700Y0000417896D01* +X0000708399Y0000417796D01* +X0000708300Y0000417696D01* +X0000707700Y0000417396D01* +X0000707100Y0000416896D01* +X0000706699Y0000416296D01* +X0000706499Y0000415996D01* +X0000706300Y0000415396D01* +X0000706199Y0000414696D01* +X0000706199Y0000413996D01* +X0000706199Y0000413996D01* +G36* +X0000478199Y0000437296D02* +X0000478199Y0000436196D01* +X0000478400Y0000435296D01* +X0000478600Y0000434396D01* +X0000478900Y0000433396D01* +X0000479299Y0000432796D01* +X0000479700Y0000431896D01* +X0000480200Y0000431096D01* +X0000480800Y0000430396D01* +X0000481199Y0000429996D01* +X0000482200Y0000429196D01* +X0000483200Y0000428396D01* +X0000484199Y0000427896D01* +X0000485400Y0000427396D01* +X0000486699Y0000426996D01* +X0000486699Y0000426996D01* +X0000486699Y0000426996D01* +X0000486800Y0000426996D01* +X0000486899Y0000426996D01* +X0000486999Y0000426996D01* +X0000487100Y0000426996D01* +X0000487300Y0000426996D01* +X0000487400Y0000426996D01* +X0000487700Y0000426996D01* +X0000487900Y0000426996D01* +X0000488200Y0000426996D01* +X0000488500Y0000426996D01* +X0000488899Y0000426996D01* +X0000489300Y0000426996D01* +X0000489699Y0000426896D01* +X0000490300Y0000426896D01* +X0000490799Y0000426896D01* +X0000491400Y0000426896D01* +X0000492099Y0000426896D01* +X0000492800Y0000426896D01* +X0000493600Y0000426896D01* +X0000494500Y0000426896D01* +X0000495399Y0000426896D01* +X0000496400Y0000426896D01* +X0000497500Y0000426896D01* +X0000498699Y0000426896D01* +X0000499900Y0000426896D01* +X0000501200Y0000426896D01* +X0000502600Y0000426896D01* +X0000504099Y0000426896D01* +X0000505600Y0000426896D01* +X0000507300Y0000426896D01* +X0000508999Y0000426896D01* +X0000510899Y0000426896D01* +X0000512799Y0000426896D01* +X0000514900Y0000426896D01* +X0000516099Y0000426896D01* +X0000516099Y0000463696D01* +X0000516099Y0000463796D01* +X0000516300Y0000463996D01* +X0000516499Y0000464396D01* +X0000516800Y0000464996D01* +X0000517199Y0000465696D01* +X0000517699Y0000466496D01* +X0000518200Y0000467396D01* +X0000518799Y0000468396D01* +X0000519399Y0000469596D01* +X0000520199Y0000470796D01* +X0000520900Y0000472096D01* +X0000521700Y0000473496D01* +X0000522499Y0000474896D01* +X0000523400Y0000476396D01* +X0000524299Y0000477996D01* +X0000524500Y0000478396D01* +X0000532999Y0000492996D01* +X0000547100Y0000500196D01* +X0000551799Y0000502596D01* +X0000556499Y0000504896D01* +X0000561099Y0000507196D01* +X0000565499Y0000509496D01* +X0000569899Y0000511696D01* +X0000574199Y0000513796D01* +X0000578400Y0000515896D01* +X0000582399Y0000517896D01* +X0000586400Y0000519896D01* +X0000590200Y0000521796D01* +X0000593899Y0000523696D01* +X0000597500Y0000525396D01* +X0000601000Y0000527096D01* +X0000604300Y0000528796D01* +X0000607499Y0000530296D01* +X0000610499Y0000531796D01* +X0000613400Y0000533196D01* +X0000616199Y0000534596D01* +X0000618699Y0000535796D01* +X0000621200Y0000536996D01* +X0000623400Y0000538096D01* +X0000625600Y0000538996D01* +X0000627500Y0000539896D01* +X0000627699Y0000540096D01* +X0000628499Y0000540396D01* +X0000629299Y0000540796D01* +X0000630000Y0000541096D01* +X0000630599Y0000541396D01* +X0000631100Y0000541596D01* +X0000631400Y0000541796D01* +X0000631599Y0000541896D01* +X0000631699Y0000541896D01* +X0000631699Y0000541996D01* +X0000631499Y0000542196D01* +X0000631300Y0000542496D01* +X0000631000Y0000542796D01* +X0000631000Y0000542896D01* +X0000630599Y0000543296D01* +X0000630200Y0000543796D01* +X0000629799Y0000544196D01* +X0000629599Y0000544396D01* +X0000629400Y0000544796D01* +X0000629100Y0000544996D01* +X0000628999Y0000545096D01* +X0000628999Y0000545096D01* +X0000628300Y0000544796D01* +X0000627699Y0000544596D01* +X0000627099Y0000544296D01* +X0000626499Y0000544096D01* +X0000625999Y0000543896D01* +X0000625499Y0000543796D01* +X0000625199Y0000543696D01* +X0000625100Y0000543696D01* +X0000625100Y0000543696D01* +X0000625000Y0000543796D01* +X0000624800Y0000543996D01* +X0000624699Y0000544396D01* +X0000624599Y0000544596D01* +X0000624399Y0000544996D01* +X0000624200Y0000545396D01* +X0000624000Y0000545696D01* +X0000623899Y0000545796D01* +X0000623799Y0000545996D01* +X0000623599Y0000546096D01* +X0000623400Y0000546096D01* +X0000622999Y0000546096D01* +X0000622600Y0000546096D01* +X0000622000Y0000545996D01* +X0000621399Y0000545896D01* +X0000620799Y0000545696D01* +X0000620200Y0000545596D01* +X0000619799Y0000545396D01* +X0000619600Y0000545396D01* +X0000619199Y0000545096D01* +X0000618899Y0000544696D01* +X0000618699Y0000544296D01* +X0000618599Y0000543896D01* +X0000618599Y0000543896D01* +X0000618599Y0000543596D01* +X0000618500Y0000543296D01* +X0000618500Y0000543096D01* +X0000618500Y0000542996D01* +X0000618500Y0000542996D01* +X0000618599Y0000542896D01* +X0000618899Y0000542896D01* +X0000619199Y0000542896D01* +X0000619499Y0000542896D01* +X0000619999Y0000542896D01* +X0000620299Y0000542896D01* +X0000620500Y0000542796D01* +X0000620700Y0000542696D01* +X0000620799Y0000542496D01* +X0000620899Y0000542396D01* +X0000621099Y0000542096D01* +X0000620299Y0000541796D01* +X0000619100Y0000541296D01* +X0000617700Y0000540696D01* +X0000616199Y0000539996D01* +X0000614500Y0000539296D01* +X0000612599Y0000538496D01* +X0000610499Y0000537496D01* +X0000608299Y0000536496D01* +X0000605899Y0000535496D01* +X0000603300Y0000534296D01* +X0000600599Y0000532996D01* +X0000597699Y0000531696D01* +X0000594599Y0000530296D01* +X0000591300Y0000528796D01* +X0000587899Y0000527196D01* +X0000584299Y0000525496D01* +X0000580499Y0000523796D01* +X0000576599Y0000521896D01* +X0000572500Y0000519996D01* +X0000568199Y0000517996D01* +X0000563799Y0000515896D01* +X0000559199Y0000513796D01* +X0000554400Y0000511496D01* +X0000551400Y0000510096D01* +X0000549999Y0000509396D01* +X0000548599Y0000508796D01* +X0000547300Y0000508196D01* +X0000546000Y0000507596D01* +X0000544900Y0000506996D01* +X0000543800Y0000506496D01* +X0000542799Y0000506096D01* +X0000541999Y0000505696D01* +X0000541199Y0000505296D01* +X0000540600Y0000505096D01* +X0000540200Y0000504796D01* +X0000539899Y0000504696D01* +X0000539799Y0000504696D01* +X0000539700Y0000504596D01* +X0000539799Y0000504696D01* +X0000539899Y0000504996D01* +X0000540099Y0000505396D01* +X0000540399Y0000505896D01* +X0000540800Y0000506596D01* +X0000541300Y0000507296D01* +X0000541799Y0000508196D01* +X0000542299Y0000509196D01* +X0000542899Y0000510196D01* +X0000543600Y0000511396D01* +X0000544300Y0000512496D01* +X0000544999Y0000513796D01* +X0000545700Y0000514996D01* +X0000546399Y0000516296D01* +X0000547199Y0000517596D01* +X0000547999Y0000518896D01* +X0000548700Y0000520196D01* +X0000549399Y0000521496D01* +X0000550199Y0000522796D01* +X0000550900Y0000523996D01* +X0000551599Y0000525096D01* +X0000552200Y0000526196D01* +X0000552800Y0000527196D01* +X0000553300Y0000528196D01* +X0000553799Y0000528996D01* +X0000554299Y0000529696D01* +X0000554599Y0000530296D01* +X0000554899Y0000530796D01* +X0000555099Y0000531196D01* +X0000555200Y0000531396D01* +X0000555200Y0000531396D01* +X0000555399Y0000531396D01* +X0000555699Y0000531496D01* +X0000556100Y0000531596D01* +X0000556699Y0000531796D01* +X0000557500Y0000531996D01* +X0000558399Y0000532296D01* +X0000559400Y0000532496D01* +X0000560500Y0000532796D01* +X0000561699Y0000533196D01* +X0000562999Y0000533496D01* +X0000564399Y0000533896D01* +X0000565799Y0000534196D01* +X0000566700Y0000534496D01* +X0000568699Y0000534996D01* +X0000570800Y0000535596D01* +X0000573000Y0000536196D01* +X0000575400Y0000536796D01* +X0000577799Y0000537396D01* +X0000580199Y0000538096D01* +X0000582699Y0000538696D01* +X0000585200Y0000539396D01* +X0000587700Y0000540096D01* +X0000590200Y0000540696D01* +X0000592699Y0000541396D01* +X0000595100Y0000541996D01* +X0000597500Y0000542696D01* +X0000599700Y0000543296D01* +X0000601900Y0000543896D01* +X0000603899Y0000544396D01* +X0000605799Y0000544896D01* +X0000607600Y0000545396D01* +X0000609000Y0000545796D01* +X0000609899Y0000545996D01* +X0000610900Y0000546296D01* +X0000611700Y0000546496D01* +X0000611700Y0000552496D01* +X0000611799Y0000552596D01* +X0000611799Y0000552496D01* +X0000611799Y0000552496D01* +X0000611700Y0000552496D01* +X0000611700Y0000546496D01* +X0000612000Y0000546596D01* +X0000613100Y0000546896D01* +X0000614200Y0000547196D01* +X0000615399Y0000547596D01* +X0000616600Y0000547896D01* +X0000617799Y0000548196D01* +X0000618899Y0000548496D01* +X0000619999Y0000548796D01* +X0000621099Y0000549096D01* +X0000622100Y0000549396D01* +X0000622999Y0000549596D01* +X0000623700Y0000549896D01* +X0000624399Y0000549996D01* +X0000624899Y0000550196D01* +X0000625300Y0000550296D01* +X0000625499Y0000550396D01* +X0000625600Y0000550396D01* +X0000625499Y0000550496D01* +X0000625499Y0000550696D01* +X0000625300Y0000551096D01* +X0000625100Y0000551496D01* +X0000624899Y0000551996D01* +X0000624599Y0000552496D01* +X0000624399Y0000552996D01* +X0000624200Y0000553496D01* +X0000624000Y0000553896D01* +X0000623799Y0000554196D01* +X0000623700Y0000554296D01* +X0000623700Y0000554296D01* +X0000623500Y0000554296D01* +X0000623299Y0000554296D01* +X0000622799Y0000554196D01* +X0000622199Y0000554096D01* +X0000621500Y0000553996D01* +X0000620700Y0000553896D01* +X0000619799Y0000553796D01* +X0000618800Y0000553596D01* +X0000617799Y0000553496D01* +X0000616799Y0000553296D01* +X0000615800Y0000553196D01* +X0000614899Y0000552996D01* +X0000614100Y0000552896D01* +X0000613400Y0000552796D01* +X0000612800Y0000552696D01* +X0000612399Y0000552696D01* +X0000612099Y0000552596D01* +X0000612000Y0000552596D01* +X0000612000Y0000552596D01* +X0000612099Y0000552696D01* +X0000612200Y0000552696D01* +X0000612800Y0000552996D01* +X0000613199Y0000553296D01* +X0000613400Y0000553496D01* +X0000613499Y0000553696D01* +X0000613600Y0000553996D01* +X0000613600Y0000554396D01* +X0000613600Y0000554996D01* +X0000613600Y0000555096D01* +X0000613699Y0000555596D01* +X0000613699Y0000555996D01* +X0000613600Y0000556296D01* +X0000613600Y0000556496D01* +X0000613600Y0000556496D01* +X0000613499Y0000556496D01* +X0000613199Y0000556496D01* +X0000612800Y0000556396D01* +X0000612500Y0000556396D01* +X0000611899Y0000556296D01* +X0000611200Y0000556296D01* +X0000610900Y0000556296D01* +X0000610600Y0000556296D01* +X0000610300Y0000556296D01* +X0000610000Y0000556196D01* +X0000609599Y0000556096D01* +X0000609399Y0000555996D01* +X0000609000Y0000555796D01* +X0000608400Y0000555596D01* +X0000607799Y0000555296D01* +X0000607300Y0000555096D01* +X0000607100Y0000554996D01* +X0000606500Y0000554696D01* +X0000606099Y0000554496D01* +X0000605799Y0000554296D01* +X0000605599Y0000554196D01* +X0000605400Y0000553996D01* +X0000605400Y0000553896D01* +X0000605100Y0000553496D01* +X0000604999Y0000553096D01* +X0000605100Y0000552896D01* +X0000605100Y0000552796D01* +X0000605400Y0000552596D01* +X0000605899Y0000552496D01* +X0000606500Y0000552296D01* +X0000607400Y0000552196D01* +X0000607799Y0000552196D01* +X0000609200Y0000552196D01* +X0000594800Y0000549896D01* +X0000592900Y0000549596D01* +X0000591000Y0000549196D01* +X0000589100Y0000548896D01* +X0000587100Y0000548596D01* +X0000585099Y0000548296D01* +X0000583100Y0000547996D01* +X0000581200Y0000547696D01* +X0000579399Y0000547396D01* +X0000577600Y0000547096D01* +X0000575899Y0000546796D01* +X0000574400Y0000546596D01* +X0000573000Y0000546396D01* +X0000571799Y0000546196D01* +X0000571600Y0000546096D01* +X0000570300Y0000545896D01* +X0000569100Y0000545696D01* +X0000568000Y0000545496D01* +X0000566899Y0000545396D01* +X0000565900Y0000545196D01* +X0000565000Y0000545096D01* +X0000564300Y0000544996D01* +X0000563700Y0000544896D01* +X0000563299Y0000544796D01* +X0000562999Y0000544796D01* +X0000562900Y0000544696D01* +X0000562900Y0000544796D01* +X0000563100Y0000545096D01* +X0000563299Y0000545496D01* +X0000563599Y0000545996D01* +X0000563899Y0000546596D01* +X0000564399Y0000547296D01* +X0000564800Y0000548196D01* +X0000565300Y0000548996D01* +X0000565900Y0000549996D01* +X0000566200Y0000550496D01* +X0000569500Y0000556296D01* +X0000570000Y0000556396D01* +X0000570200Y0000556396D01* +X0000570500Y0000556396D01* +X0000571000Y0000556496D01* +X0000571699Y0000556596D01* +X0000572500Y0000556696D01* +X0000573399Y0000556896D01* +X0000574400Y0000556996D01* +X0000575500Y0000557196D01* +X0000576699Y0000557396D01* +X0000577900Y0000557596D01* +X0000578700Y0000557696D01* +X0000581299Y0000558096D01* +X0000583900Y0000558396D01* +X0000586600Y0000558896D01* +X0000589300Y0000559296D01* +X0000591899Y0000559696D01* +X0000594599Y0000560096D01* +X0000597200Y0000560496D01* +X0000599700Y0000560896D01* +X0000602200Y0000561296D01* +X0000604499Y0000561696D01* +X0000606800Y0000561996D01* +X0000608799Y0000562396D01* +X0000610699Y0000562696D01* +X0000610999Y0000562696D01* +X0000612500Y0000562996D01* +X0000613900Y0000563196D01* +X0000615099Y0000563396D01* +X0000616199Y0000563596D01* +X0000617100Y0000563796D01* +X0000617899Y0000563896D01* +X0000618500Y0000563996D01* +X0000619000Y0000564096D01* +X0000619499Y0000564196D01* +X0000619799Y0000564196D01* +X0000619999Y0000564296D01* +X0000620200Y0000564296D01* +X0000620400Y0000564396D01* +X0000620400Y0000564396D01* +X0000620500Y0000564396D01* +X0000620500Y0000564396D01* +X0000620500Y0000564396D01* +X0000620500Y0000564496D01* +X0000620500Y0000564796D01* +X0000620500Y0000565196D01* +X0000620400Y0000565396D01* +X0000620400Y0000565896D01* +X0000620299Y0000566496D01* +X0000620200Y0000567096D01* +X0000620100Y0000567296D01* +X0000620100Y0000567796D01* +X0000619999Y0000568096D01* +X0000619999Y0000568396D01* +X0000619900Y0000568396D01* +X0000619799Y0000568396D01* +X0000619600Y0000568396D01* +X0000619100Y0000568396D01* +X0000618500Y0000568396D01* +X0000617700Y0000568296D01* +X0000616699Y0000568296D01* +X0000615699Y0000568196D01* +X0000614500Y0000568196D01* +X0000613199Y0000568096D01* +X0000611799Y0000568096D01* +X0000610300Y0000567996D01* +X0000608700Y0000567896D01* +X0000607100Y0000567796D01* +X0000605400Y0000567696D01* +X0000604199Y0000567696D01* +X0000601199Y0000567496D01* +X0000598400Y0000567396D01* +X0000595900Y0000567296D01* +X0000593500Y0000567096D01* +X0000591300Y0000566996D01* +X0000589199Y0000566896D01* +X0000587400Y0000566796D01* +X0000585599Y0000566696D01* +X0000584100Y0000566696D01* +X0000582699Y0000566596D01* +X0000581400Y0000566496D01* +X0000580300Y0000566496D01* +X0000579300Y0000566396D01* +X0000578400Y0000566396D01* +X0000577600Y0000566396D01* +X0000577000Y0000566296D01* +X0000576500Y0000566296D01* +X0000576099Y0000566296D01* +X0000575799Y0000566296D01* +X0000575599Y0000566296D01* +X0000575400Y0000566296D01* +X0000575400Y0000566296D01* +X0000575400Y0000566396D01* +X0000575599Y0000566596D01* +X0000575700Y0000566996D01* +X0000576000Y0000567396D01* +X0000576200Y0000567896D01* +X0000576500Y0000568396D01* +X0000576800Y0000568996D01* +X0000577100Y0000569396D01* +X0000577400Y0000569896D01* +X0000577600Y0000570196D01* +X0000577699Y0000570496D01* +X0000577799Y0000570596D01* +X0000577900Y0000570596D01* +X0000578200Y0000570596D01* +X0000578700Y0000570596D01* +X0000579300Y0000570696D01* +X0000580100Y0000570796D01* +X0000580999Y0000570796D01* +X0000582000Y0000570896D01* +X0000583199Y0000570996D01* +X0000584500Y0000571096D01* +X0000585899Y0000571296D01* +X0000587299Y0000571396D01* +X0000588800Y0000571496D01* +X0000590400Y0000571696D01* +X0000591800Y0000571796D01* +X0000593500Y0000571896D01* +X0000595100Y0000571996D01* +X0000596599Y0000572196D01* +X0000598000Y0000572296D01* +X0000599400Y0000572396D01* +X0000600599Y0000572496D01* +X0000601799Y0000572596D01* +X0000602799Y0000572696D01* +X0000603699Y0000572796D01* +X0000604400Y0000572896D01* +X0000604999Y0000572896D01* +X0000605500Y0000572896D01* +X0000605700Y0000572996D01* +X0000605799Y0000572996D01* +X0000605700Y0000572996D01* +X0000605400Y0000572996D01* +X0000604999Y0000573096D01* +X0000604400Y0000573196D01* +X0000603599Y0000573196D01* +X0000602700Y0000573296D01* +X0000601699Y0000573396D01* +X0000600500Y0000573596D01* +X0000599299Y0000573696D01* +X0000597899Y0000573796D01* +X0000596500Y0000573996D01* +X0000595000Y0000574096D01* +X0000593500Y0000574296D01* +X0000593299Y0000574296D01* +X0000591699Y0000574396D01* +X0000590200Y0000574596D01* +X0000588800Y0000574696D01* +X0000587500Y0000574896D01* +X0000586199Y0000574996D01* +X0000585000Y0000575096D01* +X0000583999Y0000575196D01* +X0000583100Y0000575196D01* +X0000582300Y0000575296D01* +X0000581599Y0000575396D01* +X0000581200Y0000575396D01* +X0000580799Y0000575496D01* +X0000580699Y0000575496D01* +X0000580699Y0000575496D01* +X0000580799Y0000575596D01* +X0000580900Y0000575796D01* +X0000581100Y0000576196D01* +X0000581299Y0000576596D01* +X0000581500Y0000576896D01* +X0000582300Y0000578296D01* +X0000601100Y0000578196D01* +X0000619999Y0000578196D01* +X0000619999Y0000578396D01* +X0000620100Y0000578596D01* +X0000620100Y0000578896D01* +X0000620200Y0000579396D01* +X0000620200Y0000579896D01* +X0000620299Y0000580196D01* +X0000620400Y0000580696D01* +X0000620400Y0000581196D01* +X0000620500Y0000581696D01* +X0000620500Y0000581996D01* +X0000620500Y0000581996D01* +X0000620599Y0000582396D01* +X0000616300Y0000583496D01* +X0000615300Y0000583696D01* +X0000614100Y0000583996D01* +X0000612699Y0000584396D01* +X0000611299Y0000584696D01* +X0000609699Y0000585096D01* +X0000608100Y0000585596D01* +X0000606399Y0000585996D01* +X0000604699Y0000586396D01* +X0000603000Y0000586896D01* +X0000601300Y0000587296D01* +X0000599700Y0000587696D01* +X0000598100Y0000588096D01* +X0000596700Y0000588496D01* +X0000595300Y0000588796D01* +X0000594099Y0000589096D01* +X0000592999Y0000589396D01* +X0000592900Y0000589396D01* +X0000592100Y0000589696D01* +X0000591399Y0000589896D01* +X0000590700Y0000589996D01* +X0000590200Y0000590196D01* +X0000589699Y0000590296D01* +X0000589499Y0000590396D01* +X0000589400Y0000590396D01* +X0000589400Y0000590396D01* +X0000589400Y0000590496D01* +X0000589499Y0000590796D01* +X0000589699Y0000591196D01* +X0000589999Y0000591596D01* +X0000590299Y0000592196D01* +X0000590599Y0000592696D01* +X0000591000Y0000593396D01* +X0000591300Y0000593996D01* +X0000591699Y0000594596D01* +X0000592000Y0000595096D01* +X0000592300Y0000595596D01* +X0000592499Y0000595996D01* +X0000592699Y0000596296D01* +X0000592799Y0000596396D01* +X0000592799Y0000596396D01* +X0000592900Y0000596396D01* +X0000593100Y0000596396D01* +X0000593400Y0000596296D01* +X0000593700Y0000596296D01* +X0000594099Y0000596196D01* +X0000594699Y0000595996D01* +X0000595499Y0000595796D01* +X0000596400Y0000595596D01* +X0000597399Y0000595396D01* +X0000598499Y0000595096D01* +X0000599700Y0000594796D01* +X0000601000Y0000594496D01* +X0000602299Y0000594096D01* +X0000603699Y0000593796D01* +X0000604999Y0000593496D01* +X0000606399Y0000593096D01* +X0000607799Y0000592796D01* +X0000609200Y0000592396D01* +X0000610499Y0000592096D01* +X0000611799Y0000591796D01* +X0000613000Y0000591496D01* +X0000614100Y0000591196D01* +X0000615200Y0000590896D01* +X0000616100Y0000590696D01* +X0000616900Y0000590496D01* +X0000617500Y0000590296D01* +X0000617599Y0000590296D01* +X0000618500Y0000589996D01* +X0000619400Y0000589796D01* +X0000620200Y0000589596D01* +X0000620899Y0000589396D01* +X0000621500Y0000589296D01* +X0000622000Y0000589196D01* +X0000622300Y0000589096D01* +X0000622400Y0000589096D01* +X0000622400Y0000589096D01* +X0000622499Y0000589296D01* +X0000622600Y0000589496D01* +X0000622699Y0000589696D01* +X0000622900Y0000590096D01* +X0000622900Y0000590296D01* +X0000623100Y0000590796D01* +X0000622600Y0000591196D01* +X0000622000Y0000591496D01* +X0000621300Y0000591996D01* +X0000620400Y0000592496D01* +X0000619400Y0000593096D01* +X0000618200Y0000593796D01* +X0000616999Y0000594496D01* +X0000615699Y0000595296D01* +X0000614299Y0000596096D01* +X0000612899Y0000596996D01* +X0000611400Y0000597896D01* +X0000609800Y0000598796D01* +X0000608299Y0000599696D01* +X0000606699Y0000600596D01* +X0000605200Y0000601496D01* +X0000603699Y0000602296D01* +X0000602799Y0000602796D01* +X0000601900Y0000603296D01* +X0000601100Y0000603796D01* +X0000600399Y0000604296D01* +X0000599700Y0000604696D01* +X0000599100Y0000604996D01* +X0000598699Y0000605296D01* +X0000598300Y0000605496D01* +X0000598199Y0000605596D01* +X0000598100Y0000605596D01* +X0000598199Y0000605696D01* +X0000598300Y0000605996D01* +X0000598499Y0000606396D01* +X0000598699Y0000606796D01* +X0000598999Y0000607296D01* +X0000599299Y0000607796D01* +X0000599599Y0000608296D01* +X0000599899Y0000608696D01* +X0000600099Y0000609096D01* +X0000600300Y0000609396D01* +X0000600399Y0000609496D01* +X0000600399Y0000609496D01* +X0000600500Y0000609496D01* +X0000600800Y0000609296D01* +X0000601100Y0000609096D01* +X0000601600Y0000608796D01* +X0000602200Y0000608396D01* +X0000602899Y0000607896D01* +X0000603699Y0000607396D01* +X0000604499Y0000606896D01* +X0000605299Y0000606396D01* +X0000606200Y0000605796D01* +X0000607199Y0000605196D01* +X0000608299Y0000604396D01* +X0000609500Y0000603596D01* +X0000610799Y0000602796D01* +X0000612099Y0000601896D01* +X0000613400Y0000601096D01* +X0000614799Y0000600196D01* +X0000616000Y0000599396D01* +X0000617299Y0000598596D01* +X0000617299Y0000598596D01* +X0000624500Y0000593896D01* +X0000625100Y0000595096D01* +X0000625799Y0000596296D01* +X0000626499Y0000597596D01* +X0000627200Y0000598696D01* +X0000627300Y0000598896D01* +X0000627500Y0000599196D01* +X0000627699Y0000599496D01* +X0000627800Y0000599696D01* +X0000627800Y0000599696D01* +X0000627699Y0000599796D01* +X0000627500Y0000599996D01* +X0000627200Y0000600296D01* +X0000626700Y0000600696D01* +X0000626100Y0000601196D01* +X0000625499Y0000601796D01* +X0000624699Y0000602496D01* +X0000623799Y0000603296D01* +X0000622900Y0000604096D01* +X0000621899Y0000604996D01* +X0000620899Y0000605996D01* +X0000619799Y0000606996D01* +X0000618699Y0000607996D01* +X0000617500Y0000609096D01* +X0000616300Y0000610196D01* +X0000615099Y0000611296D01* +X0000613900Y0000612296D01* +X0000612699Y0000613396D01* +X0000611500Y0000614496D01* +X0000610300Y0000615596D01* +X0000609200Y0000616596D01* +X0000609000Y0000616796D01* +X0000608200Y0000617496D01* +X0000607600Y0000618096D01* +X0000607000Y0000618596D01* +X0000606599Y0000618996D01* +X0000606399Y0000619296D01* +X0000606200Y0000619496D01* +X0000606099Y0000619596D01* +X0000606099Y0000619596D01* +X0000606200Y0000619696D01* +X0000606300Y0000619896D01* +X0000606599Y0000620296D01* +X0000606800Y0000620796D01* +X0000607100Y0000621296D01* +X0000607400Y0000621796D01* +X0000607699Y0000622396D01* +X0000608100Y0000622896D01* +X0000608299Y0000623396D01* +X0000608599Y0000623796D01* +X0000608799Y0000624196D01* +X0000608899Y0000624296D01* +X0000608899Y0000624396D01* +X0000609000Y0000624296D01* +X0000609200Y0000624196D01* +X0000609699Y0000623996D01* +X0000610199Y0000623796D01* +X0000610900Y0000623396D01* +X0000611700Y0000622996D01* +X0000612599Y0000622596D01* +X0000613600Y0000622096D01* +X0000614700Y0000621596D01* +X0000615800Y0000620996D01* +X0000616999Y0000620396D01* +X0000618000Y0000619896D01* +X0000619199Y0000619296D01* +X0000620400Y0000618696D01* +X0000621500Y0000618196D01* +X0000622600Y0000617596D01* +X0000623599Y0000617096D01* +X0000624500Y0000616696D01* +X0000625199Y0000616296D01* +X0000625900Y0000615996D01* +X0000626400Y0000615796D01* +X0000626799Y0000615596D01* +X0000627000Y0000615496D01* +X0000627000Y0000615496D01* +X0000627000Y0000615496D01* +X0000626799Y0000615796D01* +X0000626499Y0000616096D01* +X0000626200Y0000616596D01* +X0000625699Y0000617196D01* +X0000625100Y0000617896D01* +X0000624500Y0000618696D01* +X0000623799Y0000619596D01* +X0000623100Y0000620496D01* +X0000622199Y0000621596D01* +X0000621399Y0000622596D01* +X0000620500Y0000623796D01* +X0000620299Y0000623996D01* +X0000613600Y0000632496D01* +X0000613799Y0000632796D01* +X0000613900Y0000632996D01* +X0000614100Y0000633296D01* +X0000614299Y0000633696D01* +X0000614599Y0000634196D01* +X0000614899Y0000634796D01* +X0000614899Y0000634796D01* +X0000615200Y0000635396D01* +X0000615599Y0000635896D01* +X0000615800Y0000636396D01* +X0000616100Y0000636796D01* +X0000616199Y0000636996D01* +X0000616600Y0000637596D01* +X0000618200Y0000636096D01* +X0000618800Y0000635496D01* +X0000619400Y0000634896D01* +X0000620100Y0000634296D01* +X0000620700Y0000633696D01* +X0000621200Y0000633196D01* +X0000622699Y0000631796D01* +X0000622100Y0000631396D01* +X0000621599Y0000630896D01* +X0000621200Y0000630296D01* +X0000620899Y0000629596D01* +X0000620799Y0000628996D01* +X0000620799Y0000628596D01* +X0000620899Y0000628296D01* +X0000621099Y0000627996D01* +X0000621399Y0000627796D01* +X0000621500Y0000627796D01* +X0000622000Y0000627496D01* +X0000622400Y0000627096D01* +X0000622499Y0000626896D01* +X0000622799Y0000626596D01* +X0000623100Y0000626396D01* +X0000623299Y0000626196D01* +X0000623299Y0000626196D01* +X0000623500Y0000626096D01* +X0000623700Y0000625996D01* +X0000623899Y0000625696D01* +X0000624300Y0000625396D01* +X0000624699Y0000624896D01* +X0000624800Y0000624796D01* +X0000625199Y0000624396D01* +X0000625600Y0000624196D01* +X0000625999Y0000623996D01* +X0000626400Y0000623896D01* +X0000626400Y0000623996D01* +X0000626700Y0000624096D01* +X0000627099Y0000624396D01* +X0000627500Y0000624796D01* +X0000627800Y0000625196D01* +X0000628100Y0000625496D01* +X0000628300Y0000625696D01* +X0000628400Y0000625796D01* +X0000628400Y0000625796D01* +X0000628499Y0000625696D01* +X0000628699Y0000625496D01* +X0000628999Y0000625196D01* +X0000629299Y0000624796D01* +X0000629700Y0000624396D01* +X0000629900Y0000624196D01* +X0000632499Y0000621096D01* +X0000634999Y0000618096D01* +X0000637300Y0000615196D01* +X0000638400Y0000613696D01* +X0000639800Y0000611896D01* +X0000640799Y0000612596D01* +X0000641400Y0000612996D01* +X0000642099Y0000613396D01* +X0000642699Y0000613796D01* +X0000643400Y0000614196D01* +X0000643900Y0000614496D01* +X0000644299Y0000614696D01* +X0000644599Y0000614896D01* +X0000644900Y0000614996D01* +X0000644900Y0000615096D01* +X0000645000Y0000615096D01* +X0000645000Y0000615096D01* +X0000645000Y0000615196D01* +X0000645000Y0000615296D01* +X0000644900Y0000615496D01* +X0000644799Y0000615696D01* +X0000644700Y0000615996D01* +X0000644599Y0000616296D01* +X0000644400Y0000616796D01* +X0000644100Y0000617296D01* +X0000643900Y0000617996D01* +X0000643499Y0000618696D01* +X0000643100Y0000619596D01* +X0000642699Y0000620696D01* +X0000642099Y0000621796D01* +X0000641499Y0000623196D01* +X0000640900Y0000624596D01* +X0000640499Y0000625496D01* +X0000639699Y0000627096D01* +X0000639000Y0000628896D01* +X0000638100Y0000630696D01* +X0000637199Y0000632596D01* +X0000636300Y0000634596D01* +X0000635400Y0000636596D01* +X0000634600Y0000638496D01* +X0000633699Y0000640396D01* +X0000632900Y0000642196D01* +X0000632100Y0000643896D01* +X0000631400Y0000645496D01* +X0000631400Y0000645496D01* +X0000630800Y0000646896D01* +X0000630200Y0000648096D01* +X0000629599Y0000649296D01* +X0000629100Y0000650396D01* +X0000628600Y0000651496D01* +X0000628199Y0000652396D01* +X0000627800Y0000653296D01* +X0000627500Y0000653996D01* +X0000627300Y0000654596D01* +X0000627099Y0000654996D01* +X0000626900Y0000655296D01* +X0000626900Y0000655396D01* +X0000626900Y0000655396D01* +X0000626900Y0000655496D01* +X0000627099Y0000655796D01* +X0000627300Y0000656096D01* +X0000627500Y0000656596D01* +X0000627899Y0000657196D01* +X0000628199Y0000657796D01* +X0000628600Y0000658496D01* +X0000628999Y0000659196D01* +X0000629400Y0000659996D01* +X0000629799Y0000660696D01* +X0000630200Y0000661296D01* +X0000630599Y0000661896D01* +X0000630899Y0000662396D01* +X0000631100Y0000662896D01* +X0000631300Y0000663196D01* +X0000631400Y0000663296D01* +X0000631499Y0000663496D01* +X0000631900Y0000662896D01* +X0000632100Y0000662396D01* +X0000632499Y0000662096D01* +X0000632900Y0000661896D01* +X0000633300Y0000661896D01* +X0000634000Y0000661996D01* +X0000634699Y0000662196D01* +X0000635299Y0000662596D01* +X0000636000Y0000663196D01* +X0000636699Y0000663996D01* +X0000637100Y0000664596D01* +X0000637699Y0000665496D01* +X0000638200Y0000666196D01* +X0000638499Y0000666796D01* +X0000638700Y0000667296D01* +X0000638799Y0000667796D01* +X0000638900Y0000667796D01* +X0000639000Y0000668296D01* +X0000639000Y0000668696D01* +X0000639000Y0000668996D01* +X0000638900Y0000669296D01* +X0000638700Y0000669596D01* +X0000638400Y0000669896D01* +X0000638100Y0000670196D01* +X0000637799Y0000670596D01* +X0000637400Y0000670996D01* +X0000637100Y0000671296D01* +X0000636800Y0000671596D01* +X0000636399Y0000671996D01* +X0000638100Y0000674896D01* +X0000638499Y0000675596D01* +X0000638799Y0000676196D01* +X0000639099Y0000676696D01* +X0000639399Y0000677196D01* +X0000639599Y0000677596D01* +X0000639800Y0000677796D01* +X0000639800Y0000677796D01* +X0000639800Y0000677696D01* +X0000639899Y0000677496D01* +X0000640000Y0000676996D01* +X0000640199Y0000676396D01* +X0000640499Y0000675696D01* +X0000640699Y0000674796D01* +X0000640999Y0000673696D01* +X0000641400Y0000672496D01* +X0000641799Y0000671196D01* +X0000642200Y0000669796D01* +X0000642599Y0000668296D01* +X0000643100Y0000666696D01* +X0000643600Y0000664996D01* +X0000644100Y0000663196D01* +X0000644700Y0000661296D01* +X0000645200Y0000659396D01* +X0000645800Y0000657396D01* +X0000646400Y0000655396D01* +X0000646999Y0000653296D01* +X0000647599Y0000651196D01* +X0000648200Y0000648996D01* +X0000648800Y0000646896D01* +X0000649499Y0000644696D01* +X0000650100Y0000642596D01* +X0000650700Y0000640396D01* +X0000651300Y0000638296D01* +X0000651899Y0000636196D01* +X0000652499Y0000634196D01* +X0000653100Y0000632096D01* +X0000653599Y0000630196D01* +X0000653900Y0000629096D01* +X0000654300Y0000627796D01* +X0000654699Y0000626496D01* +X0000655000Y0000625296D01* +X0000655300Y0000624196D01* +X0000655600Y0000623096D01* +X0000655899Y0000622196D01* +X0000656200Y0000621396D01* +X0000656400Y0000620696D01* +X0000656499Y0000620096D01* +X0000656599Y0000619696D01* +X0000656700Y0000619496D01* +X0000656700Y0000619496D01* +X0000656799Y0000619396D01* +X0000657099Y0000619496D01* +X0000657500Y0000619496D01* +X0000658000Y0000619596D01* +X0000658100Y0000619596D01* +X0000660200Y0000619996D01* +X0000662400Y0000620196D01* +X0000664499Y0000620396D01* +X0000666699Y0000620496D01* +X0000668700Y0000620396D01* +X0000670300Y0000620396D01* +X0000670899Y0000620296D01* +X0000671299Y0000620296D01* +X0000671499Y0000620296D01* +X0000671700Y0000620396D01* +X0000671799Y0000620496D01* +X0000671799Y0000620696D01* +X0000671799Y0000620796D01* +X0000671799Y0000620996D01* +X0000671900Y0000621396D01* +X0000671900Y0000621796D01* +X0000672000Y0000622296D01* +X0000672099Y0000623296D01* +X0000672099Y0000624096D01* +X0000672099Y0000624696D01* +X0000672000Y0000624996D01* +X0000671799Y0000625196D01* +X0000671599Y0000625496D01* +X0000671299Y0000625796D01* +X0000670899Y0000626196D01* +X0000670699Y0000626296D01* +X0000670100Y0000626796D01* +X0000669699Y0000627296D01* +X0000669300Y0000627696D01* +X0000669000Y0000628096D01* +X0000668799Y0000628496D01* +X0000668599Y0000628696D01* +X0000668299Y0000629296D01* +X0000668599Y0000630796D01* +X0000668700Y0000631396D01* +X0000668900Y0000632096D01* +X0000669000Y0000632696D01* +X0000669099Y0000633196D01* +X0000669200Y0000633296D01* +X0000669300Y0000633696D01* +X0000669399Y0000634096D01* +X0000669399Y0000634396D01* +X0000669399Y0000634496D01* +X0000669200Y0000634796D01* +X0000668900Y0000635196D01* +X0000668599Y0000635496D01* +X0000668299Y0000635596D01* +X0000668100Y0000635696D01* +X0000667899Y0000635796D01* +X0000667600Y0000635796D01* +X0000667300Y0000635796D01* +X0000667000Y0000635696D01* +X0000666500Y0000635696D01* +X0000666099Y0000635696D01* +X0000665900Y0000635696D01* +X0000665700Y0000635896D01* +X0000665599Y0000636096D01* +X0000665599Y0000636296D01* +X0000665299Y0000636496D01* +X0000665200Y0000636596D01* +X0000664999Y0000636696D01* +X0000664800Y0000636696D01* +X0000664499Y0000636696D01* +X0000664300Y0000636596D01* +X0000663899Y0000636496D01* +X0000663500Y0000636396D01* +X0000663000Y0000636196D01* +X0000662700Y0000635996D01* +X0000662200Y0000635796D01* +X0000661800Y0000635596D01* +X0000661600Y0000635596D01* +X0000661400Y0000635596D01* +X0000661300Y0000635796D01* +X0000661100Y0000635996D01* +X0000661100Y0000636096D01* +X0000661000Y0000636196D01* +X0000661000Y0000636496D01* +X0000660899Y0000636896D01* +X0000660800Y0000637396D01* +X0000660800Y0000637996D01* +X0000660699Y0000638796D01* +X0000660599Y0000639696D01* +X0000660500Y0000640896D01* +X0000660500Y0000641896D01* +X0000660399Y0000642296D01* +X0000660399Y0000642896D01* +X0000660399Y0000643596D01* +X0000660399Y0000644296D01* +X0000660399Y0000645196D01* +X0000660399Y0000646196D01* +X0000660300Y0000647196D01* +X0000660300Y0000648196D01* +X0000660300Y0000649296D01* +X0000660300Y0000650296D01* +X0000660300Y0000651396D01* +X0000660300Y0000652396D01* +X0000660300Y0000653296D01* +X0000660300Y0000654196D01* +X0000660300Y0000654996D01* +X0000660300Y0000655696D01* +X0000660300Y0000656296D01* +X0000660300Y0000656696D01* +X0000660300Y0000656896D01* +X0000660300Y0000656996D01* +X0000660399Y0000656896D01* +X0000660399Y0000657896D01* +X0000660399Y0000658196D01* +X0000660399Y0000658296D01* +X0000660399Y0000658396D01* +X0000660399Y0000658296D01* +X0000660399Y0000657996D01* +X0000660399Y0000657896D01* +X0000660399Y0000657696D01* +X0000660399Y0000657496D01* +X0000660399Y0000657496D01* +X0000660399Y0000657596D01* +X0000660399Y0000657896D01* +X0000660399Y0000656896D01* +X0000660399Y0000656896D01* +X0000660500Y0000656696D01* +X0000660500Y0000656396D01* +X0000660500Y0000656296D01* +X0000660500Y0000662896D01* +X0000660500Y0000663196D01* +X0000660500Y0000663696D01* +X0000660599Y0000664196D01* +X0000660599Y0000664896D01* +X0000660599Y0000665696D01* +X0000660699Y0000666496D01* +X0000660699Y0000666696D01* +X0000661000Y0000671896D01* +X0000661300Y0000677296D01* +X0000661699Y0000682796D01* +X0000662200Y0000688496D01* +X0000662799Y0000694396D01* +X0000663399Y0000700396D01* +X0000664100Y0000706596D01* +X0000664400Y0000709596D01* +X0000664899Y0000713996D01* +X0000665499Y0000712896D01* +X0000665900Y0000711996D01* +X0000666200Y0000711196D01* +X0000666300Y0000710996D01* +X0000666500Y0000710196D01* +X0000666800Y0000709596D01* +X0000667100Y0000708996D01* +X0000667499Y0000708596D01* +X0000667699Y0000708396D01* +X0000667899Y0000708196D01* +X0000668299Y0000707796D01* +X0000668700Y0000707296D01* +X0000669099Y0000706896D01* +X0000669300Y0000706596D01* +X0000669800Y0000706196D01* +X0000670199Y0000705696D01* +X0000670600Y0000705296D01* +X0000670999Y0000704896D01* +X0000671100Y0000704796D01* +X0000671599Y0000704396D01* +X0000672099Y0000703896D01* +X0000672599Y0000703396D01* +X0000673000Y0000702896D01* +X0000673100Y0000702596D01* +X0000673300Y0000702296D01* +X0000673400Y0000701896D01* +X0000673499Y0000701696D01* +X0000673600Y0000701196D01* +X0000673800Y0000700796D01* +X0000673900Y0000700596D01* +X0000673999Y0000700296D01* +X0000674299Y0000699996D01* +X0000674700Y0000699496D01* +X0000675099Y0000698996D01* +X0000675699Y0000698396D01* +X0000676300Y0000697796D01* +X0000676900Y0000697196D01* +X0000677499Y0000696696D01* +X0000677599Y0000696596D01* +X0000678300Y0000695996D01* +X0000678699Y0000695396D01* +X0000679100Y0000694896D01* +X0000679400Y0000694296D01* +X0000679499Y0000694096D01* +X0000679800Y0000693596D01* +X0000680200Y0000693196D01* +X0000680700Y0000692996D01* +X0000681300Y0000692896D01* +X0000682000Y0000692996D01* +X0000682600Y0000693096D01* +X0000683299Y0000693396D01* +X0000683900Y0000693696D01* +X0000684599Y0000694196D01* +X0000685300Y0000694896D01* +X0000685999Y0000695696D01* +X0000686599Y0000696496D01* +X0000687000Y0000697196D01* +X0000687500Y0000697796D01* +X0000687899Y0000698496D01* +X0000688199Y0000699096D01* +X0000688499Y0000699596D01* +X0000688800Y0000700096D01* +X0000688900Y0000700396D01* +X0000688900Y0000700396D01* +X0000688999Y0000700796D01* +X0000689099Y0000701196D01* +X0000689099Y0000701696D01* +X0000689200Y0000702096D01* +X0000689200Y0000702496D01* +X0000689200Y0000702596D01* +X0000689200Y0000702796D01* +X0000689099Y0000702996D01* +X0000688900Y0000703196D01* +X0000688699Y0000703596D01* +X0000688300Y0000703996D01* +X0000687899Y0000704496D01* +X0000687300Y0000704996D01* +X0000686599Y0000705796D01* +X0000686200Y0000706196D01* +X0000685499Y0000706896D01* +X0000684899Y0000707496D01* +X0000684399Y0000707996D01* +X0000684000Y0000708496D01* +X0000683700Y0000708896D01* +X0000683400Y0000709396D01* +X0000683200Y0000709796D01* +X0000682900Y0000710296D01* +X0000682699Y0000710696D01* +X0000682499Y0000711196D01* +X0000682199Y0000711696D01* +X0000682000Y0000712196D01* +X0000681899Y0000712396D01* +X0000681599Y0000712896D01* +X0000681200Y0000713396D01* +X0000680900Y0000713996D01* +X0000680599Y0000714596D01* +X0000680299Y0000715096D01* +X0000680099Y0000715496D01* +X0000679999Y0000715896D01* +X0000679900Y0000715996D01* +X0000679800Y0000716296D01* +X0000679800Y0000716596D01* +X0000679800Y0000716596D01* +X0000679699Y0000716896D01* +X0000679499Y0000717196D01* +X0000679199Y0000717596D01* +X0000678800Y0000717996D01* +X0000678300Y0000718396D01* +X0000677900Y0000718696D01* +X0000677499Y0000718896D01* +X0000677200Y0000719096D01* +X0000677100Y0000719096D01* +X0000676900Y0000718996D01* +X0000676499Y0000718996D01* +X0000676100Y0000718796D01* +X0000675500Y0000718596D01* +X0000675000Y0000718496D01* +X0000674900Y0000718396D01* +X0000674299Y0000718196D01* +X0000673800Y0000717996D01* +X0000673400Y0000717896D01* +X0000673100Y0000717896D01* +X0000672899Y0000717796D01* +X0000672699Y0000717796D01* +X0000672500Y0000717796D01* +X0000672200Y0000717796D01* +X0000671599Y0000717896D01* +X0000670899Y0000717996D01* +X0000670199Y0000718196D01* +X0000669599Y0000718396D01* +X0000669099Y0000718596D01* +X0000669000Y0000718696D01* +X0000668599Y0000718896D01* +X0000668200Y0000718996D01* +X0000668100Y0000718996D01* +X0000667600Y0000718996D01* +X0000667000Y0000718796D01* +X0000666500Y0000718596D01* +X0000666000Y0000718396D01* +X0000665900Y0000718296D01* +X0000665700Y0000718096D01* +X0000665499Y0000717996D01* +X0000665400Y0000717996D01* +X0000665400Y0000718096D01* +X0000665400Y0000718296D01* +X0000665400Y0000718396D01* +X0000665400Y0000718696D01* +X0000665299Y0000719196D01* +X0000665100Y0000719596D01* +X0000664999Y0000719896D01* +X0000664699Y0000720996D01* +X0000669800Y0000729896D01* +X0000670600Y0000731196D01* +X0000671200Y0000732296D01* +X0000671900Y0000733396D01* +X0000672500Y0000734496D01* +X0000673000Y0000735396D01* +X0000673499Y0000736296D01* +X0000673999Y0000736996D01* +X0000674299Y0000737696D01* +X0000674599Y0000738196D01* +X0000674900Y0000738596D01* +X0000675000Y0000738796D01* +X0000675000Y0000738896D01* +X0000675000Y0000738796D01* +X0000675200Y0000738496D01* +X0000675399Y0000738096D01* +X0000675699Y0000737596D01* +X0000676100Y0000736996D01* +X0000676499Y0000736196D01* +X0000677100Y0000735296D01* +X0000677599Y0000734296D01* +X0000678300Y0000733196D01* +X0000678899Y0000732096D01* +X0000679600Y0000730896D01* +X0000680299Y0000729596D01* +X0000681099Y0000728296D01* +X0000681899Y0000726996D01* +X0000682600Y0000725596D01* +X0000683400Y0000724196D01* +X0000684200Y0000722896D01* +X0000685000Y0000721496D01* +X0000685800Y0000720196D01* +X0000686499Y0000718896D01* +X0000687300Y0000717596D01* +X0000688000Y0000716396D01* +X0000688600Y0000715196D01* +X0000689200Y0000714196D01* +X0000689799Y0000713196D01* +X0000690300Y0000712296D01* +X0000690800Y0000711496D01* +X0000691100Y0000710796D01* +X0000691499Y0000710296D01* +X0000691699Y0000709796D01* +X0000691800Y0000709596D01* +X0000691900Y0000709496D01* +X0000691900Y0000709396D01* +X0000691800Y0000708996D01* +X0000691699Y0000708596D01* +X0000691600Y0000707896D01* +X0000691499Y0000707096D01* +X0000691399Y0000706196D01* +X0000691199Y0000705196D01* +X0000691000Y0000703996D01* +X0000690800Y0000702796D01* +X0000690500Y0000701396D01* +X0000690300Y0000699996D01* +X0000690000Y0000698496D01* +X0000689799Y0000696996D01* +X0000689499Y0000695396D01* +X0000689200Y0000693796D01* +X0000688900Y0000692196D01* +X0000688600Y0000690596D01* +X0000688399Y0000688896D01* +X0000688100Y0000687296D01* +X0000687800Y0000685796D01* +X0000687500Y0000684196D01* +X0000687300Y0000682696D01* +X0000687000Y0000681296D01* +X0000686799Y0000679996D01* +X0000686499Y0000678696D01* +X0000686299Y0000677596D01* +X0000686200Y0000676496D01* +X0000685999Y0000675596D01* +X0000685800Y0000674796D01* +X0000685699Y0000674196D01* +X0000685600Y0000673696D01* +X0000685600Y0000673396D01* +X0000685499Y0000673296D01* +X0000685499Y0000673096D01* +X0000685400Y0000672896D01* +X0000685300Y0000672496D01* +X0000685199Y0000672096D01* +X0000685000Y0000671596D01* +X0000684899Y0000670896D01* +X0000684699Y0000670196D01* +X0000684500Y0000669296D01* +X0000684300Y0000668296D01* +X0000684099Y0000667196D01* +X0000683799Y0000665896D01* +X0000683499Y0000664496D01* +X0000683200Y0000662896D01* +X0000682800Y0000661196D01* +X0000682400Y0000659296D01* +X0000682000Y0000657196D01* +X0000681599Y0000654996D01* +X0000681200Y0000653196D01* +X0000680900Y0000651596D01* +X0000680599Y0000650196D01* +X0000680299Y0000648896D01* +X0000680099Y0000647796D01* +X0000679900Y0000646796D01* +X0000679699Y0000645996D01* +X0000679600Y0000645296D01* +X0000679400Y0000644696D01* +X0000679300Y0000644196D01* +X0000679300Y0000643796D01* +X0000679199Y0000643496D01* +X0000679100Y0000643196D01* +X0000679100Y0000643096D01* +X0000679100Y0000642896D01* +X0000679000Y0000642896D01* +X0000679000Y0000642796D01* +X0000679000Y0000642796D01* +X0000678899Y0000642896D01* +X0000678800Y0000643096D01* +X0000678800Y0000643196D01* +X0000678699Y0000643496D01* +X0000678500Y0000643996D01* +X0000678200Y0000644496D01* +X0000677900Y0000645096D01* +X0000677499Y0000645796D01* +X0000677100Y0000646496D01* +X0000676800Y0000646996D01* +X0000676499Y0000647596D01* +X0000676199Y0000648196D01* +X0000675899Y0000648796D01* +X0000675699Y0000649196D01* +X0000675300Y0000650196D01* +X0000674900Y0000651296D01* +X0000674299Y0000652496D01* +X0000673699Y0000653796D01* +X0000673100Y0000655096D01* +X0000672500Y0000656296D01* +X0000671900Y0000657596D01* +X0000671499Y0000658296D01* +X0000670000Y0000661296D01* +X0000669200Y0000662096D01* +X0000668200Y0000662996D01* +X0000667199Y0000663796D01* +X0000666200Y0000664296D01* +X0000665299Y0000664796D01* +X0000664400Y0000664996D01* +X0000663599Y0000665096D01* +X0000662900Y0000665196D01* +X0000662200Y0000665096D01* +X0000661800Y0000664996D01* +X0000661400Y0000664796D01* +X0000661400Y0000664696D01* +X0000661300Y0000664496D01* +X0000661100Y0000664196D01* +X0000660899Y0000663796D01* +X0000660899Y0000663596D01* +X0000660699Y0000663296D01* +X0000660599Y0000662996D01* +X0000660500Y0000662896D01* +X0000660500Y0000662796D01* +X0000660500Y0000662896D01* +X0000660500Y0000656296D01* +X0000660599Y0000655796D01* +X0000660800Y0000655296D01* +X0000661000Y0000654896D01* +X0000661400Y0000654396D01* +X0000661899Y0000653996D01* +X0000662499Y0000653496D01* +X0000662900Y0000653196D01* +X0000663099Y0000652896D01* +X0000663200Y0000652696D01* +X0000663200Y0000652596D01* +X0000663099Y0000652496D01* +X0000663000Y0000652296D01* +X0000663000Y0000651996D01* +X0000663000Y0000651596D01* +X0000663200Y0000650996D01* +X0000663399Y0000650296D01* +X0000663500Y0000650196D01* +X0000664000Y0000648896D01* +X0000664499Y0000648796D01* +X0000665100Y0000648596D01* +X0000665599Y0000648596D01* +X0000666099Y0000648596D01* +X0000666500Y0000648696D01* +X0000667000Y0000648996D01* +X0000667100Y0000648996D01* +X0000667600Y0000649396D01* +X0000668200Y0000649496D01* +X0000668700Y0000649496D01* +X0000668900Y0000649496D01* +X0000669200Y0000649296D01* +X0000669399Y0000649096D01* +X0000669399Y0000648796D01* +X0000669399Y0000648496D01* +X0000669399Y0000648196D01* +X0000669399Y0000647996D01* +X0000669500Y0000647696D01* +X0000669599Y0000647296D01* +X0000669699Y0000647196D01* +X0000669899Y0000646696D01* +X0000670100Y0000646196D01* +X0000670300Y0000645796D01* +X0000670400Y0000645796D01* +X0000670600Y0000645396D01* +X0000670800Y0000644996D01* +X0000670999Y0000644496D01* +X0000671100Y0000644496D01* +X0000671299Y0000644096D01* +X0000671599Y0000643596D01* +X0000671900Y0000643096D01* +X0000672000Y0000642996D01* +X0000672500Y0000642396D01* +X0000672899Y0000641696D01* +X0000673300Y0000640996D01* +X0000673699Y0000640296D01* +X0000673900Y0000639796D01* +X0000673900Y0000639796D01* +X0000674100Y0000639396D01* +X0000674100Y0000639196D01* +X0000674100Y0000639096D01* +X0000673999Y0000638996D01* +X0000673999Y0000638896D01* +X0000673800Y0000638696D01* +X0000673699Y0000638396D01* +X0000673699Y0000638396D01* +X0000673499Y0000638196D01* +X0000673300Y0000637796D01* +X0000673000Y0000637496D01* +X0000672899Y0000637296D01* +X0000672599Y0000636996D01* +X0000672399Y0000636696D01* +X0000672300Y0000636396D01* +X0000672300Y0000636096D01* +X0000672399Y0000635696D01* +X0000672399Y0000635396D01* +X0000672500Y0000634996D01* +X0000672699Y0000634696D01* +X0000672899Y0000634396D01* +X0000673000Y0000634296D01* +X0000673100Y0000634196D01* +X0000673199Y0000634096D01* +X0000673300Y0000633996D01* +X0000673400Y0000633996D01* +X0000673600Y0000633896D01* +X0000673800Y0000633896D01* +X0000674100Y0000633896D01* +X0000674599Y0000633896D01* +X0000675000Y0000633896D01* +X0000675800Y0000633996D01* +X0000676699Y0000633996D01* +X0000676800Y0000633996D01* +X0000677499Y0000633996D01* +X0000678099Y0000633996D01* +X0000678500Y0000633996D01* +X0000678699Y0000633996D01* +X0000678899Y0000633996D01* +X0000679000Y0000633996D01* +X0000679000Y0000633896D01* +X0000679000Y0000633796D01* +X0000678899Y0000633496D01* +X0000678899Y0000633096D01* +X0000678800Y0000632496D01* +X0000678599Y0000631696D01* +X0000678500Y0000630896D01* +X0000678300Y0000629896D01* +X0000678200Y0000628896D01* +X0000678000Y0000627796D01* +X0000677799Y0000626696D01* +X0000677599Y0000625496D01* +X0000677400Y0000624396D01* +X0000677200Y0000623396D01* +X0000677100Y0000622496D01* +X0000676999Y0000621596D01* +X0000676800Y0000620896D01* +X0000676699Y0000620296D01* +X0000676699Y0000619896D01* +X0000676600Y0000619596D01* +X0000676600Y0000619496D01* +X0000676699Y0000619496D01* +X0000676999Y0000619396D01* +X0000677400Y0000619296D01* +X0000677900Y0000619196D01* +X0000678300Y0000619096D01* +X0000678899Y0000618896D01* +X0000679699Y0000618696D01* +X0000680499Y0000618496D01* +X0000681099Y0000618296D01* +X0000681200Y0000618196D01* +X0000681699Y0000618096D01* +X0000682199Y0000617996D01* +X0000682499Y0000617896D01* +X0000682699Y0000617896D01* +X0000682699Y0000617896D01* +X0000682800Y0000617996D01* +X0000682900Y0000618296D01* +X0000682999Y0000618696D01* +X0000683299Y0000619296D01* +X0000683499Y0000620096D01* +X0000683799Y0000620996D01* +X0000684200Y0000622096D01* +X0000684599Y0000623296D01* +X0000685100Y0000624596D01* +X0000685600Y0000625996D01* +X0000686099Y0000627596D01* +X0000686700Y0000629196D01* +X0000687300Y0000630996D01* +X0000687899Y0000632796D01* +X0000688600Y0000634696D01* +X0000689299Y0000636696D01* +X0000690000Y0000638696D01* +X0000690700Y0000640796D01* +X0000691399Y0000642996D01* +X0000692200Y0000645196D01* +X0000692900Y0000647396D01* +X0000693700Y0000649696D01* +X0000694499Y0000651896D01* +X0000695299Y0000654196D01* +X0000696000Y0000656496D01* +X0000696800Y0000658796D01* +X0000697600Y0000660996D01* +X0000698400Y0000663296D01* +X0000699099Y0000665496D01* +X0000699899Y0000667696D01* +X0000700600Y0000669796D01* +X0000701299Y0000671896D01* +X0000702000Y0000673896D01* +X0000702700Y0000675896D01* +X0000702899Y0000676696D01* +X0000703399Y0000677896D01* +X0000703800Y0000679096D01* +X0000704100Y0000680196D01* +X0000704499Y0000681296D01* +X0000704799Y0000682196D01* +X0000705099Y0000682996D01* +X0000705399Y0000683796D01* +X0000705599Y0000684396D01* +X0000705700Y0000684796D01* +X0000705800Y0000685096D01* +X0000705899Y0000685196D01* +X0000705899Y0000685196D01* +X0000705899Y0000685096D01* +X0000706100Y0000684896D01* +X0000706300Y0000684496D01* +X0000706600Y0000683996D01* +X0000706999Y0000683396D01* +X0000707400Y0000682696D01* +X0000707900Y0000681896D01* +X0000708399Y0000680996D01* +X0000708899Y0000680096D01* +X0000709499Y0000679096D01* +X0000710100Y0000678096D01* +X0000710599Y0000677096D01* +X0000711200Y0000676096D01* +X0000711800Y0000675096D01* +X0000712399Y0000674196D01* +X0000712900Y0000673196D01* +X0000713400Y0000672396D01* +X0000713799Y0000671596D01* +X0000714200Y0000670896D01* +X0000714599Y0000670296D01* +X0000714899Y0000669796D01* +X0000715000Y0000669396D01* +X0000715199Y0000669196D01* +X0000715199Y0000669096D01* +X0000715100Y0000668996D01* +X0000715000Y0000668696D01* +X0000714800Y0000668296D01* +X0000714500Y0000667796D01* +X0000714200Y0000667096D01* +X0000713799Y0000666296D01* +X0000713299Y0000665296D01* +X0000712800Y0000664296D01* +X0000712199Y0000663196D01* +X0000711599Y0000661996D01* +X0000711000Y0000660796D01* +X0000710299Y0000659496D01* +X0000709999Y0000658896D01* +X0000709399Y0000657696D01* +X0000708700Y0000656396D01* +X0000708000Y0000654896D01* +X0000707100Y0000653296D01* +X0000706300Y0000651496D01* +X0000705300Y0000649696D01* +X0000704400Y0000647796D01* +X0000703399Y0000645896D01* +X0000702300Y0000643896D01* +X0000701299Y0000641896D01* +X0000700300Y0000639796D01* +X0000699300Y0000637796D01* +X0000698199Y0000635796D01* +X0000697199Y0000633896D01* +X0000696399Y0000632196D01* +X0000687899Y0000615596D01* +X0000688600Y0000615196D01* +X0000690500Y0000614096D01* +X0000692200Y0000613096D01* +X0000693899Y0000611996D01* +X0000695499Y0000610796D01* +X0000695900Y0000610496D01* +X0000696000Y0000610496D01* +X0000696300Y0000610696D01* +X0000696599Y0000610996D01* +X0000697100Y0000611296D01* +X0000697800Y0000611796D01* +X0000698499Y0000612396D01* +X0000698599Y0000612396D01* +X0000700199Y0000613696D01* +X0000702000Y0000614996D01* +X0000703900Y0000616496D01* +X0000705899Y0000617996D01* +X0000708099Y0000619596D01* +X0000710299Y0000621196D01* +X0000712100Y0000622496D01* +X0000712100Y0000640696D01* +X0000712199Y0000641296D01* +X0000712499Y0000641896D01* +X0000712999Y0000642596D01* +X0000713400Y0000643096D01* +X0000713799Y0000643596D01* +X0000714099Y0000643996D01* +X0000714300Y0000644496D01* +X0000714399Y0000644896D01* +X0000714399Y0000644996D01* +X0000714599Y0000645396D01* +X0000714800Y0000645796D01* +X0000715100Y0000646096D01* +X0000715699Y0000646796D01* +X0000715999Y0000647596D01* +X0000716200Y0000648196D01* +X0000716299Y0000648496D01* +X0000716400Y0000648696D01* +X0000716700Y0000648796D01* +X0000717200Y0000648896D01* +X0000717300Y0000648896D01* +X0000718100Y0000648996D01* +X0000718900Y0000648896D01* +X0000719700Y0000648596D01* +X0000720599Y0000648196D01* +X0000721300Y0000647596D01* +X0000721600Y0000647396D01* +X0000721900Y0000646996D01* +X0000721900Y0000646596D01* +X0000721900Y0000646096D01* +X0000721900Y0000645996D01* +X0000721699Y0000645496D01* +X0000721399Y0000644896D01* +X0000721100Y0000644296D01* +X0000720700Y0000643496D01* +X0000720300Y0000642796D01* +X0000719799Y0000641996D01* +X0000719400Y0000641496D01* +X0000719200Y0000640996D01* +X0000718999Y0000640696D01* +X0000718900Y0000640296D01* +X0000718800Y0000639996D01* +X0000718800Y0000639996D01* +X0000718600Y0000639396D01* +X0000718399Y0000638896D01* +X0000718199Y0000638596D01* +X0000718000Y0000638296D01* +X0000717599Y0000638096D01* +X0000717099Y0000637896D01* +X0000716700Y0000637796D01* +X0000716299Y0000637596D01* +X0000715999Y0000637596D01* +X0000715800Y0000637496D01* +X0000715699Y0000637496D01* +X0000715600Y0000637596D01* +X0000715499Y0000637596D01* +X0000715300Y0000637796D01* +X0000715000Y0000637996D01* +X0000715000Y0000637996D01* +X0000714700Y0000638196D01* +X0000714300Y0000638396D01* +X0000714099Y0000638496D01* +X0000713499Y0000638896D01* +X0000712900Y0000639196D01* +X0000712499Y0000639596D01* +X0000712300Y0000639796D01* +X0000712100Y0000640196D01* +X0000712100Y0000640696D01* +X0000712100Y0000622496D01* +X0000712600Y0000622896D01* +X0000715000Y0000624596D01* +X0000717500Y0000626396D01* +X0000719900Y0000628196D01* +X0000722400Y0000629896D01* +X0000724900Y0000631696D01* +X0000727300Y0000633396D01* +X0000729800Y0000635096D01* +X0000732200Y0000636696D01* +X0000732200Y0000636696D01* +X0000733399Y0000637596D01* +X0000733800Y0000636996D01* +X0000733900Y0000636896D01* +X0000733999Y0000636596D01* +X0000734299Y0000636196D01* +X0000734700Y0000635596D01* +X0000735099Y0000634796D01* +X0000735599Y0000633896D01* +X0000736199Y0000632796D01* +X0000736900Y0000631596D01* +X0000737700Y0000630296D01* +X0000738500Y0000628796D01* +X0000739499Y0000627196D01* +X0000740499Y0000625496D01* +X0000741500Y0000623696D01* +X0000742600Y0000621696D01* +X0000743799Y0000619696D01* +X0000745100Y0000617496D01* +X0000746400Y0000615196D01* +X0000747800Y0000612896D01* +X0000749200Y0000610396D01* +X0000750700Y0000607796D01* +X0000752200Y0000605196D01* +X0000753800Y0000602496D01* +X0000755400Y0000599696D01* +X0000757000Y0000596796D01* +X0000758700Y0000593896D01* +X0000760499Y0000590796D01* +X0000762200Y0000587796D01* +X0000764100Y0000584696D01* +X0000765899Y0000581496D01* +X0000767700Y0000578196D01* +X0000769600Y0000575096D01* +X0000771500Y0000571796D01* +X0000773499Y0000568396D01* +X0000775399Y0000564996D01* +X0000777399Y0000561596D01* +X0000779400Y0000558196D01* +X0000781399Y0000554796D01* +X0000783399Y0000551296D01* +X0000785400Y0000547796D01* +X0000787399Y0000544396D01* +X0000789399Y0000540896D01* +X0000791400Y0000537396D01* +X0000793399Y0000533896D01* +X0000795399Y0000530496D01* +X0000797400Y0000526996D01* +X0000799399Y0000523596D01* +X0000801299Y0000520196D01* +X0000803299Y0000516796D01* +X0000805199Y0000513496D01* +X0000807099Y0000510196D01* +X0000808999Y0000506896D01* +X0000810899Y0000503696D01* +X0000812700Y0000500496D01* +X0000814499Y0000497396D01* +X0000816299Y0000494296D01* +X0000817999Y0000491296D01* +X0000819700Y0000488296D01* +X0000821400Y0000485496D01* +X0000823000Y0000482696D01* +X0000824600Y0000479896D01* +X0000826100Y0000477296D01* +X0000827600Y0000474696D01* +X0000829000Y0000472296D01* +X0000830400Y0000469896D01* +X0000831700Y0000467596D01* +X0000832900Y0000465496D01* +X0000834099Y0000463396D01* +X0000835199Y0000461396D01* +X0000836299Y0000459596D01* +X0000837299Y0000457896D01* +X0000838199Y0000456296D01* +X0000839100Y0000454796D01* +X0000839799Y0000453496D01* +X0000840500Y0000452296D01* +X0000841100Y0000451196D01* +X0000841699Y0000450296D01* +X0000842100Y0000449496D01* +X0000842499Y0000448896D01* +X0000842700Y0000448496D01* +X0000842900Y0000448196D01* +X0000843000Y0000447996D01* +X0000843000Y0000447996D01* +X0000843000Y0000447996D01* +X0000842900Y0000447996D01* +X0000842799Y0000447996D01* +X0000842700Y0000447996D01* +X0000842499Y0000447996D01* +X0000842299Y0000447996D01* +X0000841999Y0000447996D01* +X0000841699Y0000447996D01* +X0000841300Y0000447996D01* +X0000840899Y0000447996D01* +X0000840399Y0000447996D01* +X0000839799Y0000447996D01* +X0000839199Y0000447996D01* +X0000838500Y0000447996D01* +X0000837800Y0000447996D01* +X0000836900Y0000447896D01* +X0000835999Y0000447896D01* +X0000835000Y0000447896D01* +X0000833900Y0000447896D01* +X0000832800Y0000447896D01* +X0000831500Y0000447896D01* +X0000830199Y0000447896D01* +X0000828700Y0000447896D01* +X0000827199Y0000447896D01* +X0000825599Y0000447896D01* +X0000823900Y0000447896D01* +X0000822000Y0000447896D01* +X0000820100Y0000447896D01* +X0000817999Y0000447896D01* +X0000815900Y0000447896D01* +X0000813599Y0000447896D01* +X0000811199Y0000447896D01* +X0000808699Y0000447896D01* +X0000806100Y0000447896D01* +X0000803299Y0000447896D01* +X0000800400Y0000447896D01* +X0000797400Y0000447896D01* +X0000794200Y0000447896D01* +X0000790900Y0000447896D01* +X0000787499Y0000447896D01* +X0000783899Y0000447896D01* +X0000780200Y0000447896D01* +X0000776299Y0000447896D01* +X0000772300Y0000447896D01* +X0000768099Y0000447896D01* +X0000763800Y0000447896D01* +X0000759299Y0000447896D01* +X0000754699Y0000447896D01* +X0000749900Y0000447896D01* +X0000744899Y0000447896D01* +X0000739699Y0000447896D01* +X0000734399Y0000447896D01* +X0000728900Y0000447896D01* +X0000723300Y0000447896D01* +X0000717399Y0000447896D01* +X0000711399Y0000447896D01* +X0000705099Y0000447896D01* +X0000698700Y0000447896D01* +X0000692099Y0000447896D01* +X0000685300Y0000447896D01* +X0000685199Y0000447896D01* +X0000678300Y0000447896D01* +X0000671799Y0000447896D01* +X0000665299Y0000447896D01* +X0000659100Y0000447896D01* +X0000652999Y0000447896D01* +X0000647100Y0000447896D01* +X0000641299Y0000447896D01* +X0000635799Y0000447896D01* +X0000630399Y0000447896D01* +X0000625199Y0000447896D01* +X0000620200Y0000447896D01* +X0000615399Y0000447896D01* +X0000610699Y0000447896D01* +X0000606099Y0000447896D01* +X0000601799Y0000447896D01* +X0000597599Y0000447896D01* +X0000593500Y0000447896D01* +X0000589600Y0000447896D01* +X0000585899Y0000447896D01* +X0000582200Y0000447896D01* +X0000578799Y0000447896D01* +X0000575500Y0000447896D01* +X0000572299Y0000447896D01* +X0000569200Y0000447896D01* +X0000566299Y0000447896D01* +X0000563500Y0000447896D01* +X0000560899Y0000447896D01* +X0000558300Y0000447896D01* +X0000555899Y0000447896D01* +X0000553600Y0000447896D01* +X0000551500Y0000447896D01* +X0000549399Y0000447896D01* +X0000547499Y0000447896D01* +X0000545599Y0000447896D01* +X0000543899Y0000447896D01* +X0000542299Y0000447896D01* +X0000540800Y0000447896D01* +X0000539400Y0000447896D01* +X0000537999Y0000447896D01* +X0000536799Y0000447896D01* +X0000535699Y0000447896D01* +X0000534600Y0000447896D01* +X0000533599Y0000447896D01* +X0000532799Y0000447996D01* +X0000531899Y0000447996D01* +X0000531200Y0000447996D01* +X0000530599Y0000447996D01* +X0000529999Y0000447996D01* +X0000529499Y0000447996D01* +X0000528999Y0000447996D01* +X0000528699Y0000447996D01* +X0000528300Y0000447996D01* +X0000528099Y0000447996D01* +X0000527899Y0000447996D01* +X0000527700Y0000447996D01* +X0000527599Y0000447996D01* +X0000527599Y0000447996D01* +X0000527599Y0000447996D01* +X0000527700Y0000448096D01* +X0000527899Y0000448296D01* +X0000528300Y0000448596D01* +X0000528800Y0000448996D01* +X0000529400Y0000449496D01* +X0000529999Y0000449996D01* +X0000530700Y0000450596D01* +X0000531500Y0000451196D01* +X0000532400Y0000451896D01* +X0000534300Y0000453496D01* +X0000536500Y0000455196D01* +X0000538699Y0000456996D01* +X0000541100Y0000458996D01* +X0000543600Y0000460996D01* +X0000546300Y0000463096D01* +X0000549000Y0000465396D01* +X0000551799Y0000467696D01* +X0000554700Y0000470096D01* +X0000557700Y0000472496D01* +X0000560799Y0000474996D01* +X0000564000Y0000477596D01* +X0000567200Y0000480296D01* +X0000570500Y0000482996D01* +X0000573800Y0000485696D01* +X0000577199Y0000488496D01* +X0000580600Y0000491196D01* +X0000583999Y0000494096D01* +X0000587400Y0000496896D01* +X0000590899Y0000499696D01* +X0000594399Y0000502596D01* +X0000597800Y0000505396D01* +X0000601199Y0000508296D01* +X0000604699Y0000511096D01* +X0000608100Y0000513896D01* +X0000611400Y0000516696D01* +X0000614799Y0000519496D01* +X0000618000Y0000522196D01* +X0000621300Y0000524896D01* +X0000622900Y0000526196D01* +X0000636300Y0000537396D01* +X0000635100Y0000538496D01* +X0000634699Y0000538896D01* +X0000634400Y0000539196D01* +X0000634100Y0000539496D01* +X0000633899Y0000539596D01* +X0000633800Y0000539696D01* +X0000633800Y0000539596D01* +X0000633500Y0000539496D01* +X0000633099Y0000539196D01* +X0000632700Y0000538896D01* +X0000632100Y0000538496D01* +X0000631400Y0000538096D01* +X0000630699Y0000537596D01* +X0000630399Y0000537296D01* +X0000629400Y0000536696D01* +X0000628300Y0000535896D01* +X0000627000Y0000535096D01* +X0000625699Y0000534196D01* +X0000624200Y0000533196D01* +X0000622699Y0000532196D01* +X0000621099Y0000531096D01* +X0000619499Y0000529996D01* +X0000617899Y0000528896D01* +X0000616199Y0000527896D01* +X0000614599Y0000526796D01* +X0000613000Y0000525696D01* +X0000611400Y0000524696D01* +X0000610600Y0000524096D01* +X0000609899Y0000523696D01* +X0000609200Y0000523196D01* +X0000608299Y0000522696D01* +X0000607400Y0000522096D01* +X0000606399Y0000521396D01* +X0000605400Y0000520696D01* +X0000604300Y0000519996D01* +X0000603200Y0000519296D01* +X0000602100Y0000518596D01* +X0000601000Y0000517896D01* +X0000599899Y0000517196D01* +X0000598900Y0000516496D01* +X0000597899Y0000515896D01* +X0000596899Y0000515296D01* +X0000596100Y0000514696D01* +X0000595300Y0000514196D01* +X0000594699Y0000513796D01* +X0000594200Y0000513396D01* +X0000593799Y0000513196D01* +X0000593500Y0000512996D01* +X0000593200Y0000512896D01* +X0000593500Y0000513096D01* +X0000593599Y0000513296D01* +X0000593700Y0000513396D01* +X0000593700Y0000513496D01* +X0000593599Y0000513596D01* +X0000593400Y0000513796D01* +X0000592999Y0000514096D01* +X0000592699Y0000514496D01* +X0000592199Y0000514896D01* +X0000591800Y0000515296D01* +X0000591300Y0000515696D01* +X0000590899Y0000516096D01* +X0000590500Y0000516496D01* +X0000590200Y0000516696D01* +X0000590200Y0000516696D01* +X0000589799Y0000516996D01* +X0000589499Y0000517296D01* +X0000589300Y0000517496D01* +X0000589199Y0000517596D01* +X0000589100Y0000517796D01* +X0000588899Y0000518096D01* +X0000588599Y0000518296D01* +X0000588300Y0000518496D01* +X0000588200Y0000518496D01* +X0000588000Y0000518496D01* +X0000587700Y0000518296D01* +X0000587700Y0000518196D01* +X0000587500Y0000518096D01* +X0000587200Y0000517996D01* +X0000586900Y0000517896D01* +X0000586400Y0000517696D01* +X0000585800Y0000517496D01* +X0000585500Y0000517396D01* +X0000584899Y0000517296D01* +X0000584200Y0000517096D01* +X0000583600Y0000516896D01* +X0000583100Y0000516796D01* +X0000582800Y0000516696D01* +X0000581799Y0000516396D01* +X0000580900Y0000515996D01* +X0000580400Y0000515796D01* +X0000579699Y0000515496D01* +X0000579200Y0000515196D01* +X0000579000Y0000515196D01* +X0000578500Y0000514996D01* +X0000577999Y0000514696D01* +X0000577400Y0000514496D01* +X0000576699Y0000514196D01* +X0000576200Y0000513896D01* +X0000575599Y0000513596D01* +X0000575100Y0000513296D01* +X0000574699Y0000513096D01* +X0000574499Y0000512996D01* +X0000574199Y0000512796D01* +X0000574000Y0000512596D01* +X0000573699Y0000512296D01* +X0000573699Y0000512196D01* +X0000573300Y0000511896D01* +X0000573099Y0000511596D01* +X0000572899Y0000511396D01* +X0000572799Y0000511096D01* +X0000572700Y0000510796D01* +X0000572700Y0000510396D01* +X0000572599Y0000509896D01* +X0000572599Y0000509396D01* +X0000572599Y0000508996D01* +X0000572599Y0000508596D01* +X0000572599Y0000508496D01* +X0000572799Y0000508296D01* +X0000573000Y0000508196D01* +X0000573500Y0000508096D01* +X0000574199Y0000507796D01* +X0000574900Y0000507496D01* +X0000575299Y0000507396D01* +X0000575700Y0000507196D01* +X0000576099Y0000507096D01* +X0000576500Y0000506996D01* +X0000576500Y0000506996D01* +X0000576800Y0000506996D01* +X0000577199Y0000506896D01* +X0000577600Y0000506896D01* +X0000577900Y0000506796D01* +X0000578500Y0000506696D01* +X0000579099Y0000506696D01* +X0000579599Y0000506696D01* +X0000580000Y0000506796D01* +X0000580499Y0000506896D01* +X0000580600Y0000506896D01* +X0000580999Y0000506996D01* +X0000581599Y0000507196D01* +X0000582200Y0000507296D01* +X0000582699Y0000507496D01* +X0000583600Y0000507696D01* +X0000584599Y0000507996D01* +X0000585699Y0000508396D01* +X0000586600Y0000508796D01* +X0000586799Y0000508896D01* +X0000587100Y0000508996D01* +X0000587299Y0000509096D01* +X0000587299Y0000509096D01* +X0000587299Y0000509096D01* +X0000587200Y0000508996D01* +X0000586999Y0000508796D01* +X0000586499Y0000508496D01* +X0000586000Y0000508196D01* +X0000585300Y0000507696D01* +X0000584400Y0000507196D01* +X0000583499Y0000506596D01* +X0000582399Y0000505896D01* +X0000581200Y0000505096D01* +X0000579899Y0000504296D01* +X0000578500Y0000503396D01* +X0000577000Y0000502396D01* +X0000575400Y0000501396D01* +X0000573800Y0000500296D01* +X0000571999Y0000499196D01* +X0000570200Y0000498096D01* +X0000568400Y0000496896D01* +X0000566400Y0000495696D01* +X0000564500Y0000494396D01* +X0000562499Y0000493096D01* +X0000560400Y0000491796D01* +X0000558399Y0000490496D01* +X0000556300Y0000489196D01* +X0000554200Y0000487896D01* +X0000552099Y0000486496D01* +X0000549999Y0000485196D01* +X0000547900Y0000483796D01* +X0000545799Y0000482496D01* +X0000543699Y0000481196D01* +X0000541699Y0000479896D01* +X0000539700Y0000478596D01* +X0000537699Y0000477396D01* +X0000535799Y0000476096D01* +X0000533899Y0000474896D01* +X0000532100Y0000473796D01* +X0000530299Y0000472696D01* +X0000528600Y0000471596D01* +X0000526999Y0000470596D01* +X0000525500Y0000469596D01* +X0000523999Y0000468696D01* +X0000522699Y0000467796D01* +X0000521500Y0000467096D01* +X0000520300Y0000466296D01* +X0000519300Y0000465696D01* +X0000518500Y0000465196D01* +X0000517699Y0000464696D01* +X0000517100Y0000464296D01* +X0000516599Y0000463996D01* +X0000516300Y0000463796D01* +X0000516099Y0000463696D01* +X0000516099Y0000463696D01* +X0000516099Y0000426896D01* +X0000517100Y0000426896D01* +X0000519300Y0000426896D01* +X0000521700Y0000426896D01* +X0000524200Y0000426896D01* +X0000526799Y0000426896D01* +X0000529499Y0000426896D01* +X0000532300Y0000426896D01* +X0000535300Y0000426896D01* +X0000538400Y0000426896D01* +X0000541600Y0000426896D01* +X0000544999Y0000426896D01* +X0000548400Y0000426896D01* +X0000552099Y0000426896D01* +X0000555800Y0000426896D01* +X0000559699Y0000426896D01* +X0000563700Y0000426896D01* +X0000567899Y0000426896D01* +X0000572299Y0000426896D01* +X0000576800Y0000426896D01* +X0000581400Y0000426896D01* +X0000586199Y0000426896D01* +X0000591200Y0000426896D01* +X0000596299Y0000426896D01* +X0000601600Y0000426896D01* +X0000607000Y0000426896D01* +X0000612599Y0000426896D01* +X0000618399Y0000426896D01* +X0000624399Y0000426896D01* +X0000630500Y0000426896D01* +X0000636899Y0000426796D01* +X0000643400Y0000426796D01* +X0000650100Y0000426796D01* +X0000656900Y0000426796D01* +X0000664000Y0000426796D01* +X0000671299Y0000426796D01* +X0000674499Y0000426796D01* +X0000680400Y0000426796D01* +X0000686499Y0000426796D01* +X0000692499Y0000426796D01* +X0000698400Y0000426796D01* +X0000704299Y0000426796D01* +X0000710100Y0000426796D01* +X0000715800Y0000426796D01* +X0000721499Y0000426796D01* +X0000727100Y0000426796D01* +X0000732700Y0000426796D01* +X0000738200Y0000426796D01* +X0000743599Y0000426796D01* +X0000748900Y0000426796D01* +X0000754199Y0000426796D01* +X0000759299Y0000426796D01* +X0000764400Y0000426796D01* +X0000769300Y0000426796D01* +X0000774200Y0000426896D01* +X0000778999Y0000426896D01* +X0000783700Y0000426896D01* +X0000788299Y0000426896D01* +X0000792700Y0000426896D01* +X0000797100Y0000426896D01* +X0000801399Y0000426896D01* +X0000805499Y0000426896D01* +X0000809499Y0000426896D01* +X0000813399Y0000426896D01* +X0000817199Y0000426896D01* +X0000820800Y0000426896D01* +X0000824299Y0000426896D01* +X0000827700Y0000426896D01* +X0000830900Y0000426896D01* +X0000834000Y0000426896D01* +X0000837000Y0000426896D01* +X0000839799Y0000426896D01* +X0000842400Y0000426896D01* +X0000844900Y0000426896D01* +X0000847300Y0000426896D01* +X0000849500Y0000426896D01* +X0000851499Y0000426896D01* +X0000853399Y0000426896D01* +X0000855000Y0000426896D01* +X0000856600Y0000426896D01* +X0000857900Y0000426896D01* +X0000859100Y0000426896D01* +X0000860100Y0000426896D01* +X0000860900Y0000426896D01* +X0000861500Y0000426896D01* +X0000862000Y0000426896D01* +X0000862199Y0000426896D01* +X0000862300Y0000426896D01* +X0000863700Y0000427096D01* +X0000865000Y0000427496D01* +X0000866299Y0000428096D01* +X0000867500Y0000428896D01* +X0000868600Y0000429796D01* +X0000869499Y0000430796D01* +X0000870299Y0000431996D01* +X0000870800Y0000432796D01* +X0000871199Y0000433696D01* +X0000871500Y0000434696D01* +X0000871699Y0000435596D01* +X0000871800Y0000436696D01* +X0000871800Y0000437296D01* +X0000871800Y0000438396D01* +X0000871600Y0000439396D01* +X0000871399Y0000440296D01* +X0000871100Y0000441196D01* +X0000870700Y0000441996D01* +X0000870599Y0000442096D01* +X0000870500Y0000442396D01* +X0000870200Y0000442896D01* +X0000869900Y0000443496D01* +X0000869400Y0000444196D01* +X0000868900Y0000445096D01* +X0000868300Y0000446196D01* +X0000867599Y0000447396D01* +X0000866799Y0000448796D01* +X0000865900Y0000450296D01* +X0000865000Y0000451996D01* +X0000864000Y0000453696D01* +X0000862900Y0000455696D01* +X0000861700Y0000457696D01* +X0000860400Y0000459896D01* +X0000859100Y0000462196D01* +X0000857700Y0000464596D01* +X0000856300Y0000467096D01* +X0000854700Y0000469696D01* +X0000853199Y0000472496D01* +X0000851499Y0000475296D01* +X0000849800Y0000478296D01* +X0000847999Y0000481296D01* +X0000846200Y0000484496D01* +X0000844399Y0000487696D01* +X0000842400Y0000491096D01* +X0000840500Y0000494496D01* +X0000838399Y0000497996D01* +X0000836400Y0000501596D01* +X0000834200Y0000505296D01* +X0000832100Y0000508996D01* +X0000829900Y0000512796D01* +X0000827700Y0000516696D01* +X0000825399Y0000520596D01* +X0000823100Y0000524696D01* +X0000820699Y0000528696D01* +X0000818299Y0000532796D01* +X0000815900Y0000536996D01* +X0000813500Y0000541196D01* +X0000811000Y0000545496D01* +X0000808600Y0000549796D01* +X0000806100Y0000554096D01* +X0000803499Y0000558496D01* +X0000801000Y0000562896D01* +X0000798399Y0000567396D01* +X0000795800Y0000571796D01* +X0000793199Y0000576196D01* +X0000790600Y0000580696D01* +X0000787999Y0000585296D01* +X0000785400Y0000589796D01* +X0000782799Y0000594296D01* +X0000780200Y0000598896D01* +X0000777500Y0000603496D01* +X0000774899Y0000607996D01* +X0000772300Y0000612596D01* +X0000769600Y0000617096D01* +X0000766999Y0000621696D01* +X0000764400Y0000626196D01* +X0000761799Y0000630696D01* +X0000759200Y0000635196D01* +X0000756599Y0000639696D01* +X0000754100Y0000644096D01* +X0000751499Y0000648496D01* +X0000748999Y0000652896D01* +X0000746499Y0000657296D01* +X0000744000Y0000661596D01* +X0000741500Y0000665896D01* +X0000739100Y0000670096D01* +X0000736699Y0000674296D01* +X0000734299Y0000678396D01* +X0000731900Y0000682496D01* +X0000729599Y0000686496D01* +X0000727300Y0000690396D01* +X0000725100Y0000694296D01* +X0000722900Y0000698096D01* +X0000720700Y0000701896D01* +X0000718600Y0000705596D01* +X0000716499Y0000709196D01* +X0000714500Y0000712696D01* +X0000712499Y0000716096D01* +X0000710499Y0000719496D01* +X0000708700Y0000722696D01* +X0000706800Y0000725896D01* +X0000705099Y0000728996D01* +X0000703300Y0000731896D01* +X0000701700Y0000734796D01* +X0000700100Y0000737596D01* +X0000698599Y0000740196D01* +X0000697100Y0000742796D01* +X0000695700Y0000745196D01* +X0000694300Y0000747496D01* +X0000693099Y0000749696D01* +X0000691900Y0000751796D01* +X0000690800Y0000753696D01* +X0000689700Y0000755496D01* +X0000688800Y0000757196D01* +X0000687899Y0000758696D01* +X0000687099Y0000760096D01* +X0000686400Y0000761296D01* +X0000685699Y0000762396D01* +X0000685199Y0000763396D01* +X0000684699Y0000764196D01* +X0000684300Y0000764796D01* +X0000684099Y0000765296D01* +X0000683900Y0000765596D01* +X0000683799Y0000765696D01* +X0000683799Y0000765696D01* +X0000682900Y0000766896D01* +X0000681899Y0000767896D01* +X0000680799Y0000768696D01* +X0000679600Y0000769496D01* +X0000678200Y0000769996D01* +X0000676900Y0000770396D01* +X0000676499Y0000770496D01* +X0000675899Y0000770496D01* +X0000675300Y0000770496D01* +X0000674700Y0000770496D01* +X0000673999Y0000770496D01* +X0000673499Y0000770496D01* +X0000673100Y0000770396D01* +X0000671700Y0000769996D01* +X0000670300Y0000769396D01* +X0000669099Y0000768696D01* +X0000667999Y0000767796D01* +X0000667000Y0000766796D01* +X0000666200Y0000765796D01* +X0000666099Y0000765596D01* +X0000666000Y0000765296D01* +X0000665700Y0000764896D01* +X0000665400Y0000764296D01* +X0000664899Y0000763496D01* +X0000664400Y0000762596D01* +X0000663800Y0000761496D01* +X0000663099Y0000760296D01* +X0000662299Y0000758896D01* +X0000661400Y0000757396D01* +X0000660399Y0000755796D01* +X0000659400Y0000753996D01* +X0000658300Y0000752096D01* +X0000657099Y0000749996D01* +X0000655899Y0000747896D01* +X0000654500Y0000745596D01* +X0000653100Y0000743196D01* +X0000651699Y0000740596D01* +X0000650200Y0000737996D01* +X0000648599Y0000735196D01* +X0000646900Y0000732396D01* +X0000645200Y0000729396D01* +X0000643400Y0000726396D01* +X0000641599Y0000723196D01* +X0000639699Y0000719996D01* +X0000637799Y0000716596D01* +X0000635799Y0000713196D01* +X0000633800Y0000709696D01* +X0000631699Y0000706096D01* +X0000629599Y0000702396D01* +X0000627500Y0000698696D01* +X0000625300Y0000694896D01* +X0000622999Y0000690996D01* +X0000620700Y0000687096D01* +X0000618399Y0000683096D01* +X0000616100Y0000678996D01* +X0000613699Y0000674896D01* +X0000611299Y0000670696D01* +X0000608899Y0000666496D01* +X0000606399Y0000662196D01* +X0000603899Y0000657896D01* +X0000601400Y0000653596D01* +X0000598900Y0000649196D01* +X0000596299Y0000644796D01* +X0000593799Y0000640296D01* +X0000591200Y0000635896D01* +X0000588599Y0000631396D01* +X0000586000Y0000626896D01* +X0000583400Y0000622296D01* +X0000580799Y0000617796D01* +X0000578100Y0000613296D01* +X0000575500Y0000608696D01* +X0000572899Y0000604096D01* +X0000570200Y0000599596D01* +X0000567599Y0000594996D01* +X0000565000Y0000590496D01* +X0000562400Y0000585896D01* +X0000559699Y0000581396D01* +X0000557100Y0000576896D01* +X0000554500Y0000572496D01* +X0000552000Y0000567996D01* +X0000549399Y0000563596D01* +X0000546899Y0000559196D01* +X0000544300Y0000554796D01* +X0000541799Y0000550396D01* +X0000539299Y0000546096D01* +X0000536899Y0000541796D01* +X0000534399Y0000537596D01* +X0000531999Y0000533396D01* +X0000529600Y0000529296D01* +X0000527299Y0000525196D01* +X0000525000Y0000521196D01* +X0000522699Y0000517296D01* +X0000520400Y0000513396D01* +X0000518200Y0000509596D01* +X0000516099Y0000505796D01* +X0000513899Y0000502096D01* +X0000511900Y0000498496D01* +X0000509799Y0000494996D01* +X0000507899Y0000491596D01* +X0000505900Y0000488196D01* +X0000504000Y0000484996D01* +X0000502199Y0000481796D01* +X0000500400Y0000478696D01* +X0000498699Y0000475796D01* +X0000497099Y0000472896D01* +X0000495500Y0000470096D01* +X0000493999Y0000467496D01* +X0000492500Y0000464896D01* +X0000491099Y0000462496D01* +X0000489800Y0000460196D01* +X0000488500Y0000457996D01* +X0000487300Y0000455996D01* +X0000486200Y0000453996D01* +X0000485200Y0000452196D01* +X0000484199Y0000450596D01* +X0000483300Y0000448996D01* +X0000482500Y0000447596D01* +X0000481799Y0000446396D01* +X0000481199Y0000445296D01* +X0000480600Y0000444396D01* +X0000480200Y0000443596D01* +X0000479799Y0000442896D01* +X0000479500Y0000442496D01* +X0000479400Y0000442096D01* +X0000479299Y0000441996D01* +X0000479299Y0000441996D01* +X0000478799Y0000440996D01* +X0000478499Y0000440096D01* +X0000478300Y0000439196D01* +X0000478199Y0000438196D01* +X0000478199Y0000437296D01* +X0000478199Y0000437296D01* +X0000478199Y0000437296D01* +G37* +X0000478199Y0000437296D02* +X0000478199Y0000436196D01* +X0000478400Y0000435296D01* +X0000478600Y0000434396D01* +X0000478900Y0000433396D01* +X0000479299Y0000432796D01* +X0000479700Y0000431896D01* +X0000480200Y0000431096D01* +X0000480800Y0000430396D01* +X0000481199Y0000429996D01* +X0000482200Y0000429196D01* +X0000483200Y0000428396D01* +X0000484199Y0000427896D01* +X0000485400Y0000427396D01* +X0000486699Y0000426996D01* +X0000486699Y0000426996D01* +X0000486699Y0000426996D01* +X0000486800Y0000426996D01* +X0000486899Y0000426996D01* +X0000486999Y0000426996D01* +X0000487100Y0000426996D01* +X0000487300Y0000426996D01* +X0000487400Y0000426996D01* +X0000487700Y0000426996D01* +X0000487900Y0000426996D01* +X0000488200Y0000426996D01* +X0000488500Y0000426996D01* +X0000488899Y0000426996D01* +X0000489300Y0000426996D01* +X0000489699Y0000426896D01* +X0000490300Y0000426896D01* +X0000490799Y0000426896D01* +X0000491400Y0000426896D01* +X0000492099Y0000426896D01* +X0000492800Y0000426896D01* +X0000493600Y0000426896D01* +X0000494500Y0000426896D01* +X0000495399Y0000426896D01* +X0000496400Y0000426896D01* +X0000497500Y0000426896D01* +X0000498699Y0000426896D01* +X0000499900Y0000426896D01* +X0000501200Y0000426896D01* +X0000502600Y0000426896D01* +X0000504099Y0000426896D01* +X0000505600Y0000426896D01* +X0000507300Y0000426896D01* +X0000508999Y0000426896D01* +X0000510899Y0000426896D01* +X0000512799Y0000426896D01* +X0000514900Y0000426896D01* +X0000516099Y0000426896D01* +X0000516099Y0000463696D01* +X0000516099Y0000463796D01* +X0000516300Y0000463996D01* +X0000516499Y0000464396D01* +X0000516800Y0000464996D01* +X0000517199Y0000465696D01* +X0000517699Y0000466496D01* +X0000518200Y0000467396D01* +X0000518799Y0000468396D01* +X0000519399Y0000469596D01* +X0000520199Y0000470796D01* +X0000520900Y0000472096D01* +X0000521700Y0000473496D01* +X0000522499Y0000474896D01* +X0000523400Y0000476396D01* +X0000524299Y0000477996D01* +X0000524500Y0000478396D01* +X0000532999Y0000492996D01* +X0000547100Y0000500196D01* +X0000551799Y0000502596D01* +X0000556499Y0000504896D01* +X0000561099Y0000507196D01* +X0000565499Y0000509496D01* +X0000569899Y0000511696D01* +X0000574199Y0000513796D01* +X0000578400Y0000515896D01* +X0000582399Y0000517896D01* +X0000586400Y0000519896D01* +X0000590200Y0000521796D01* +X0000593899Y0000523696D01* +X0000597500Y0000525396D01* +X0000601000Y0000527096D01* +X0000604300Y0000528796D01* +X0000607499Y0000530296D01* +X0000610499Y0000531796D01* +X0000613400Y0000533196D01* +X0000616199Y0000534596D01* +X0000618699Y0000535796D01* +X0000621200Y0000536996D01* +X0000623400Y0000538096D01* +X0000625600Y0000538996D01* +X0000627500Y0000539896D01* +X0000627699Y0000540096D01* +X0000628499Y0000540396D01* +X0000629299Y0000540796D01* +X0000630000Y0000541096D01* +X0000630599Y0000541396D01* +X0000631100Y0000541596D01* +X0000631400Y0000541796D01* +X0000631599Y0000541896D01* +X0000631699Y0000541896D01* +X0000631699Y0000541996D01* +X0000631499Y0000542196D01* +X0000631300Y0000542496D01* +X0000631000Y0000542796D01* +X0000631000Y0000542896D01* +X0000630599Y0000543296D01* +X0000630200Y0000543796D01* +X0000629799Y0000544196D01* +X0000629599Y0000544396D01* +X0000629400Y0000544796D01* +X0000629100Y0000544996D01* +X0000628999Y0000545096D01* +X0000628999Y0000545096D01* +X0000628300Y0000544796D01* +X0000627699Y0000544596D01* +X0000627099Y0000544296D01* +X0000626499Y0000544096D01* +X0000625999Y0000543896D01* +X0000625499Y0000543796D01* +X0000625199Y0000543696D01* +X0000625100Y0000543696D01* +X0000625100Y0000543696D01* +X0000625000Y0000543796D01* +X0000624800Y0000543996D01* +X0000624699Y0000544396D01* +X0000624599Y0000544596D01* +X0000624399Y0000544996D01* +X0000624200Y0000545396D01* +X0000624000Y0000545696D01* +X0000623899Y0000545796D01* +X0000623799Y0000545996D01* +X0000623599Y0000546096D01* +X0000623400Y0000546096D01* +X0000622999Y0000546096D01* +X0000622600Y0000546096D01* +X0000622000Y0000545996D01* +X0000621399Y0000545896D01* +X0000620799Y0000545696D01* +X0000620200Y0000545596D01* +X0000619799Y0000545396D01* +X0000619600Y0000545396D01* +X0000619199Y0000545096D01* +X0000618899Y0000544696D01* +X0000618699Y0000544296D01* +X0000618599Y0000543896D01* +X0000618599Y0000543896D01* +X0000618599Y0000543596D01* +X0000618500Y0000543296D01* +X0000618500Y0000543096D01* +X0000618500Y0000542996D01* +X0000618500Y0000542996D01* +X0000618599Y0000542896D01* +X0000618899Y0000542896D01* +X0000619199Y0000542896D01* +X0000619499Y0000542896D01* +X0000619999Y0000542896D01* +X0000620299Y0000542896D01* +X0000620500Y0000542796D01* +X0000620700Y0000542696D01* +X0000620799Y0000542496D01* +X0000620899Y0000542396D01* +X0000621099Y0000542096D01* +X0000620299Y0000541796D01* +X0000619100Y0000541296D01* +X0000617700Y0000540696D01* +X0000616199Y0000539996D01* +X0000614500Y0000539296D01* +X0000612599Y0000538496D01* +X0000610499Y0000537496D01* +X0000608299Y0000536496D01* +X0000605899Y0000535496D01* +X0000603300Y0000534296D01* +X0000600599Y0000532996D01* +X0000597699Y0000531696D01* +X0000594599Y0000530296D01* +X0000591300Y0000528796D01* +X0000587899Y0000527196D01* +X0000584299Y0000525496D01* +X0000580499Y0000523796D01* +X0000576599Y0000521896D01* +X0000572500Y0000519996D01* +X0000568199Y0000517996D01* +X0000563799Y0000515896D01* +X0000559199Y0000513796D01* +X0000554400Y0000511496D01* +X0000551400Y0000510096D01* +X0000549999Y0000509396D01* +X0000548599Y0000508796D01* +X0000547300Y0000508196D01* +X0000546000Y0000507596D01* +X0000544900Y0000506996D01* +X0000543800Y0000506496D01* +X0000542799Y0000506096D01* +X0000541999Y0000505696D01* +X0000541199Y0000505296D01* +X0000540600Y0000505096D01* +X0000540200Y0000504796D01* +X0000539899Y0000504696D01* +X0000539799Y0000504696D01* +X0000539700Y0000504596D01* +X0000539799Y0000504696D01* +X0000539899Y0000504996D01* +X0000540099Y0000505396D01* +X0000540399Y0000505896D01* +X0000540800Y0000506596D01* +X0000541300Y0000507296D01* +X0000541799Y0000508196D01* +X0000542299Y0000509196D01* +X0000542899Y0000510196D01* +X0000543600Y0000511396D01* +X0000544300Y0000512496D01* +X0000544999Y0000513796D01* +X0000545700Y0000514996D01* +X0000546399Y0000516296D01* +X0000547199Y0000517596D01* +X0000547999Y0000518896D01* +X0000548700Y0000520196D01* +X0000549399Y0000521496D01* +X0000550199Y0000522796D01* +X0000550900Y0000523996D01* +X0000551599Y0000525096D01* +X0000552200Y0000526196D01* +X0000552800Y0000527196D01* +X0000553300Y0000528196D01* +X0000553799Y0000528996D01* +X0000554299Y0000529696D01* +X0000554599Y0000530296D01* +X0000554899Y0000530796D01* +X0000555099Y0000531196D01* +X0000555200Y0000531396D01* +X0000555200Y0000531396D01* +X0000555399Y0000531396D01* +X0000555699Y0000531496D01* +X0000556100Y0000531596D01* +X0000556699Y0000531796D01* +X0000557500Y0000531996D01* +X0000558399Y0000532296D01* +X0000559400Y0000532496D01* +X0000560500Y0000532796D01* +X0000561699Y0000533196D01* +X0000562999Y0000533496D01* +X0000564399Y0000533896D01* +X0000565799Y0000534196D01* +X0000566700Y0000534496D01* +X0000568699Y0000534996D01* +X0000570800Y0000535596D01* +X0000573000Y0000536196D01* +X0000575400Y0000536796D01* +X0000577799Y0000537396D01* +X0000580199Y0000538096D01* +X0000582699Y0000538696D01* +X0000585200Y0000539396D01* +X0000587700Y0000540096D01* +X0000590200Y0000540696D01* +X0000592699Y0000541396D01* +X0000595100Y0000541996D01* +X0000597500Y0000542696D01* +X0000599700Y0000543296D01* +X0000601900Y0000543896D01* +X0000603899Y0000544396D01* +X0000605799Y0000544896D01* +X0000607600Y0000545396D01* +X0000609000Y0000545796D01* +X0000609899Y0000545996D01* +X0000610900Y0000546296D01* +X0000611700Y0000546496D01* +X0000611700Y0000552496D01* +X0000611799Y0000552596D01* +X0000611799Y0000552496D01* +X0000611799Y0000552496D01* +X0000611700Y0000552496D01* +X0000611700Y0000546496D01* +X0000612000Y0000546596D01* +X0000613100Y0000546896D01* +X0000614200Y0000547196D01* +X0000615399Y0000547596D01* +X0000616600Y0000547896D01* +X0000617799Y0000548196D01* +X0000618899Y0000548496D01* +X0000619999Y0000548796D01* +X0000621099Y0000549096D01* +X0000622100Y0000549396D01* +X0000622999Y0000549596D01* +X0000623700Y0000549896D01* +X0000624399Y0000549996D01* +X0000624899Y0000550196D01* +X0000625300Y0000550296D01* +X0000625499Y0000550396D01* +X0000625600Y0000550396D01* +X0000625499Y0000550496D01* +X0000625499Y0000550696D01* +X0000625300Y0000551096D01* +X0000625100Y0000551496D01* +X0000624899Y0000551996D01* +X0000624599Y0000552496D01* +X0000624399Y0000552996D01* +X0000624200Y0000553496D01* +X0000624000Y0000553896D01* +X0000623799Y0000554196D01* +X0000623700Y0000554296D01* +X0000623700Y0000554296D01* +X0000623500Y0000554296D01* +X0000623299Y0000554296D01* +X0000622799Y0000554196D01* +X0000622199Y0000554096D01* +X0000621500Y0000553996D01* +X0000620700Y0000553896D01* +X0000619799Y0000553796D01* +X0000618800Y0000553596D01* +X0000617799Y0000553496D01* +X0000616799Y0000553296D01* +X0000615800Y0000553196D01* +X0000614899Y0000552996D01* +X0000614100Y0000552896D01* +X0000613400Y0000552796D01* +X0000612800Y0000552696D01* +X0000612399Y0000552696D01* +X0000612099Y0000552596D01* +X0000612000Y0000552596D01* +X0000612000Y0000552596D01* +X0000612099Y0000552696D01* +X0000612200Y0000552696D01* +X0000612800Y0000552996D01* +X0000613199Y0000553296D01* +X0000613400Y0000553496D01* +X0000613499Y0000553696D01* +X0000613600Y0000553996D01* +X0000613600Y0000554396D01* +X0000613600Y0000554996D01* +X0000613600Y0000555096D01* +X0000613699Y0000555596D01* +X0000613699Y0000555996D01* +X0000613600Y0000556296D01* +X0000613600Y0000556496D01* +X0000613600Y0000556496D01* +X0000613499Y0000556496D01* +X0000613199Y0000556496D01* +X0000612800Y0000556396D01* +X0000612500Y0000556396D01* +X0000611899Y0000556296D01* +X0000611200Y0000556296D01* +X0000610900Y0000556296D01* +X0000610600Y0000556296D01* +X0000610300Y0000556296D01* +X0000610000Y0000556196D01* +X0000609599Y0000556096D01* +X0000609399Y0000555996D01* +X0000609000Y0000555796D01* +X0000608400Y0000555596D01* +X0000607799Y0000555296D01* +X0000607300Y0000555096D01* +X0000607100Y0000554996D01* +X0000606500Y0000554696D01* +X0000606099Y0000554496D01* +X0000605799Y0000554296D01* +X0000605599Y0000554196D01* +X0000605400Y0000553996D01* +X0000605400Y0000553896D01* +X0000605100Y0000553496D01* +X0000604999Y0000553096D01* +X0000605100Y0000552896D01* +X0000605100Y0000552796D01* +X0000605400Y0000552596D01* +X0000605899Y0000552496D01* +X0000606500Y0000552296D01* +X0000607400Y0000552196D01* +X0000607799Y0000552196D01* +X0000609200Y0000552196D01* +X0000594800Y0000549896D01* +X0000592900Y0000549596D01* +X0000591000Y0000549196D01* +X0000589100Y0000548896D01* +X0000587100Y0000548596D01* +X0000585099Y0000548296D01* +X0000583100Y0000547996D01* +X0000581200Y0000547696D01* +X0000579399Y0000547396D01* +X0000577600Y0000547096D01* +X0000575899Y0000546796D01* +X0000574400Y0000546596D01* +X0000573000Y0000546396D01* +X0000571799Y0000546196D01* +X0000571600Y0000546096D01* +X0000570300Y0000545896D01* +X0000569100Y0000545696D01* +X0000568000Y0000545496D01* +X0000566899Y0000545396D01* +X0000565900Y0000545196D01* +X0000565000Y0000545096D01* +X0000564300Y0000544996D01* +X0000563700Y0000544896D01* +X0000563299Y0000544796D01* +X0000562999Y0000544796D01* +X0000562900Y0000544696D01* +X0000562900Y0000544796D01* +X0000563100Y0000545096D01* +X0000563299Y0000545496D01* +X0000563599Y0000545996D01* +X0000563899Y0000546596D01* +X0000564399Y0000547296D01* +X0000564800Y0000548196D01* +X0000565300Y0000548996D01* +X0000565900Y0000549996D01* +X0000566200Y0000550496D01* +X0000569500Y0000556296D01* +X0000570000Y0000556396D01* +X0000570200Y0000556396D01* +X0000570500Y0000556396D01* +X0000571000Y0000556496D01* +X0000571699Y0000556596D01* +X0000572500Y0000556696D01* +X0000573399Y0000556896D01* +X0000574400Y0000556996D01* +X0000575500Y0000557196D01* +X0000576699Y0000557396D01* +X0000577900Y0000557596D01* +X0000578700Y0000557696D01* +X0000581299Y0000558096D01* +X0000583900Y0000558396D01* +X0000586600Y0000558896D01* +X0000589300Y0000559296D01* +X0000591899Y0000559696D01* +X0000594599Y0000560096D01* +X0000597200Y0000560496D01* +X0000599700Y0000560896D01* +X0000602200Y0000561296D01* +X0000604499Y0000561696D01* +X0000606800Y0000561996D01* +X0000608799Y0000562396D01* +X0000610699Y0000562696D01* +X0000610999Y0000562696D01* +X0000612500Y0000562996D01* +X0000613900Y0000563196D01* +X0000615099Y0000563396D01* +X0000616199Y0000563596D01* +X0000617100Y0000563796D01* +X0000617899Y0000563896D01* +X0000618500Y0000563996D01* +X0000619000Y0000564096D01* +X0000619499Y0000564196D01* +X0000619799Y0000564196D01* +X0000619999Y0000564296D01* +X0000620200Y0000564296D01* +X0000620400Y0000564396D01* +X0000620400Y0000564396D01* +X0000620500Y0000564396D01* +X0000620500Y0000564396D01* +X0000620500Y0000564396D01* +X0000620500Y0000564496D01* +X0000620500Y0000564796D01* +X0000620500Y0000565196D01* +X0000620400Y0000565396D01* +X0000620400Y0000565896D01* +X0000620299Y0000566496D01* +X0000620200Y0000567096D01* +X0000620100Y0000567296D01* +X0000620100Y0000567796D01* +X0000619999Y0000568096D01* +X0000619999Y0000568396D01* +X0000619900Y0000568396D01* +X0000619799Y0000568396D01* +X0000619600Y0000568396D01* +X0000619100Y0000568396D01* +X0000618500Y0000568396D01* +X0000617700Y0000568296D01* +X0000616699Y0000568296D01* +X0000615699Y0000568196D01* +X0000614500Y0000568196D01* +X0000613199Y0000568096D01* +X0000611799Y0000568096D01* +X0000610300Y0000567996D01* +X0000608700Y0000567896D01* +X0000607100Y0000567796D01* +X0000605400Y0000567696D01* +X0000604199Y0000567696D01* +X0000601199Y0000567496D01* +X0000598400Y0000567396D01* +X0000595900Y0000567296D01* +X0000593500Y0000567096D01* +X0000591300Y0000566996D01* +X0000589199Y0000566896D01* +X0000587400Y0000566796D01* +X0000585599Y0000566696D01* +X0000584100Y0000566696D01* +X0000582699Y0000566596D01* +X0000581400Y0000566496D01* +X0000580300Y0000566496D01* +X0000579300Y0000566396D01* +X0000578400Y0000566396D01* +X0000577600Y0000566396D01* +X0000577000Y0000566296D01* +X0000576500Y0000566296D01* +X0000576099Y0000566296D01* +X0000575799Y0000566296D01* +X0000575599Y0000566296D01* +X0000575400Y0000566296D01* +X0000575400Y0000566296D01* +X0000575400Y0000566396D01* +X0000575599Y0000566596D01* +X0000575700Y0000566996D01* +X0000576000Y0000567396D01* +X0000576200Y0000567896D01* +X0000576500Y0000568396D01* +X0000576800Y0000568996D01* +X0000577100Y0000569396D01* +X0000577400Y0000569896D01* +X0000577600Y0000570196D01* +X0000577699Y0000570496D01* +X0000577799Y0000570596D01* +X0000577900Y0000570596D01* +X0000578200Y0000570596D01* +X0000578700Y0000570596D01* +X0000579300Y0000570696D01* +X0000580100Y0000570796D01* +X0000580999Y0000570796D01* +X0000582000Y0000570896D01* +X0000583199Y0000570996D01* +X0000584500Y0000571096D01* +X0000585899Y0000571296D01* +X0000587299Y0000571396D01* +X0000588800Y0000571496D01* +X0000590400Y0000571696D01* +X0000591800Y0000571796D01* +X0000593500Y0000571896D01* +X0000595100Y0000571996D01* +X0000596599Y0000572196D01* +X0000598000Y0000572296D01* +X0000599400Y0000572396D01* +X0000600599Y0000572496D01* +X0000601799Y0000572596D01* +X0000602799Y0000572696D01* +X0000603699Y0000572796D01* +X0000604400Y0000572896D01* +X0000604999Y0000572896D01* +X0000605500Y0000572896D01* +X0000605700Y0000572996D01* +X0000605799Y0000572996D01* +X0000605700Y0000572996D01* +X0000605400Y0000572996D01* +X0000604999Y0000573096D01* +X0000604400Y0000573196D01* +X0000603599Y0000573196D01* +X0000602700Y0000573296D01* +X0000601699Y0000573396D01* +X0000600500Y0000573596D01* +X0000599299Y0000573696D01* +X0000597899Y0000573796D01* +X0000596500Y0000573996D01* +X0000595000Y0000574096D01* +X0000593500Y0000574296D01* +X0000593299Y0000574296D01* +X0000591699Y0000574396D01* +X0000590200Y0000574596D01* +X0000588800Y0000574696D01* +X0000587500Y0000574896D01* +X0000586199Y0000574996D01* +X0000585000Y0000575096D01* +X0000583999Y0000575196D01* +X0000583100Y0000575196D01* +X0000582300Y0000575296D01* +X0000581599Y0000575396D01* +X0000581200Y0000575396D01* +X0000580799Y0000575496D01* +X0000580699Y0000575496D01* +X0000580699Y0000575496D01* +X0000580799Y0000575596D01* +X0000580900Y0000575796D01* +X0000581100Y0000576196D01* +X0000581299Y0000576596D01* +X0000581500Y0000576896D01* +X0000582300Y0000578296D01* +X0000601100Y0000578196D01* +X0000619999Y0000578196D01* +X0000619999Y0000578396D01* +X0000620100Y0000578596D01* +X0000620100Y0000578896D01* +X0000620200Y0000579396D01* +X0000620200Y0000579896D01* +X0000620299Y0000580196D01* +X0000620400Y0000580696D01* +X0000620400Y0000581196D01* +X0000620500Y0000581696D01* +X0000620500Y0000581996D01* +X0000620500Y0000581996D01* +X0000620599Y0000582396D01* +X0000616300Y0000583496D01* +X0000615300Y0000583696D01* +X0000614100Y0000583996D01* +X0000612699Y0000584396D01* +X0000611299Y0000584696D01* +X0000609699Y0000585096D01* +X0000608100Y0000585596D01* +X0000606399Y0000585996D01* +X0000604699Y0000586396D01* +X0000603000Y0000586896D01* +X0000601300Y0000587296D01* +X0000599700Y0000587696D01* +X0000598100Y0000588096D01* +X0000596700Y0000588496D01* +X0000595300Y0000588796D01* +X0000594099Y0000589096D01* +X0000592999Y0000589396D01* +X0000592900Y0000589396D01* +X0000592100Y0000589696D01* +X0000591399Y0000589896D01* +X0000590700Y0000589996D01* +X0000590200Y0000590196D01* +X0000589699Y0000590296D01* +X0000589499Y0000590396D01* +X0000589400Y0000590396D01* +X0000589400Y0000590396D01* +X0000589400Y0000590496D01* +X0000589499Y0000590796D01* +X0000589699Y0000591196D01* +X0000589999Y0000591596D01* +X0000590299Y0000592196D01* +X0000590599Y0000592696D01* +X0000591000Y0000593396D01* +X0000591300Y0000593996D01* +X0000591699Y0000594596D01* +X0000592000Y0000595096D01* +X0000592300Y0000595596D01* +X0000592499Y0000595996D01* +X0000592699Y0000596296D01* +X0000592799Y0000596396D01* +X0000592799Y0000596396D01* +X0000592900Y0000596396D01* +X0000593100Y0000596396D01* +X0000593400Y0000596296D01* +X0000593700Y0000596296D01* +X0000594099Y0000596196D01* +X0000594699Y0000595996D01* +X0000595499Y0000595796D01* +X0000596400Y0000595596D01* +X0000597399Y0000595396D01* +X0000598499Y0000595096D01* +X0000599700Y0000594796D01* +X0000601000Y0000594496D01* +X0000602299Y0000594096D01* +X0000603699Y0000593796D01* +X0000604999Y0000593496D01* +X0000606399Y0000593096D01* +X0000607799Y0000592796D01* +X0000609200Y0000592396D01* +X0000610499Y0000592096D01* +X0000611799Y0000591796D01* +X0000613000Y0000591496D01* +X0000614100Y0000591196D01* +X0000615200Y0000590896D01* +X0000616100Y0000590696D01* +X0000616900Y0000590496D01* +X0000617500Y0000590296D01* +X0000617599Y0000590296D01* +X0000618500Y0000589996D01* +X0000619400Y0000589796D01* +X0000620200Y0000589596D01* +X0000620899Y0000589396D01* +X0000621500Y0000589296D01* +X0000622000Y0000589196D01* +X0000622300Y0000589096D01* +X0000622400Y0000589096D01* +X0000622400Y0000589096D01* +X0000622499Y0000589296D01* +X0000622600Y0000589496D01* +X0000622699Y0000589696D01* +X0000622900Y0000590096D01* +X0000622900Y0000590296D01* +X0000623100Y0000590796D01* +X0000622600Y0000591196D01* +X0000622000Y0000591496D01* +X0000621300Y0000591996D01* +X0000620400Y0000592496D01* +X0000619400Y0000593096D01* +X0000618200Y0000593796D01* +X0000616999Y0000594496D01* +X0000615699Y0000595296D01* +X0000614299Y0000596096D01* +X0000612899Y0000596996D01* +X0000611400Y0000597896D01* +X0000609800Y0000598796D01* +X0000608299Y0000599696D01* +X0000606699Y0000600596D01* +X0000605200Y0000601496D01* +X0000603699Y0000602296D01* +X0000602799Y0000602796D01* +X0000601900Y0000603296D01* +X0000601100Y0000603796D01* +X0000600399Y0000604296D01* +X0000599700Y0000604696D01* +X0000599100Y0000604996D01* +X0000598699Y0000605296D01* +X0000598300Y0000605496D01* +X0000598199Y0000605596D01* +X0000598100Y0000605596D01* +X0000598199Y0000605696D01* +X0000598300Y0000605996D01* +X0000598499Y0000606396D01* +X0000598699Y0000606796D01* +X0000598999Y0000607296D01* +X0000599299Y0000607796D01* +X0000599599Y0000608296D01* +X0000599899Y0000608696D01* +X0000600099Y0000609096D01* +X0000600300Y0000609396D01* +X0000600399Y0000609496D01* +X0000600399Y0000609496D01* +X0000600500Y0000609496D01* +X0000600800Y0000609296D01* +X0000601100Y0000609096D01* +X0000601600Y0000608796D01* +X0000602200Y0000608396D01* +X0000602899Y0000607896D01* +X0000603699Y0000607396D01* +X0000604499Y0000606896D01* +X0000605299Y0000606396D01* +X0000606200Y0000605796D01* +X0000607199Y0000605196D01* +X0000608299Y0000604396D01* +X0000609500Y0000603596D01* +X0000610799Y0000602796D01* +X0000612099Y0000601896D01* +X0000613400Y0000601096D01* +X0000614799Y0000600196D01* +X0000616000Y0000599396D01* +X0000617299Y0000598596D01* +X0000617299Y0000598596D01* +X0000624500Y0000593896D01* +X0000625100Y0000595096D01* +X0000625799Y0000596296D01* +X0000626499Y0000597596D01* +X0000627200Y0000598696D01* +X0000627300Y0000598896D01* +X0000627500Y0000599196D01* +X0000627699Y0000599496D01* +X0000627800Y0000599696D01* +X0000627800Y0000599696D01* +X0000627699Y0000599796D01* +X0000627500Y0000599996D01* +X0000627200Y0000600296D01* +X0000626700Y0000600696D01* +X0000626100Y0000601196D01* +X0000625499Y0000601796D01* +X0000624699Y0000602496D01* +X0000623799Y0000603296D01* +X0000622900Y0000604096D01* +X0000621899Y0000604996D01* +X0000620899Y0000605996D01* +X0000619799Y0000606996D01* +X0000618699Y0000607996D01* +X0000617500Y0000609096D01* +X0000616300Y0000610196D01* +X0000615099Y0000611296D01* +X0000613900Y0000612296D01* +X0000612699Y0000613396D01* +X0000611500Y0000614496D01* +X0000610300Y0000615596D01* +X0000609200Y0000616596D01* +X0000609000Y0000616796D01* +X0000608200Y0000617496D01* +X0000607600Y0000618096D01* +X0000607000Y0000618596D01* +X0000606599Y0000618996D01* +X0000606399Y0000619296D01* +X0000606200Y0000619496D01* +X0000606099Y0000619596D01* +X0000606099Y0000619596D01* +X0000606200Y0000619696D01* +X0000606300Y0000619896D01* +X0000606599Y0000620296D01* +X0000606800Y0000620796D01* +X0000607100Y0000621296D01* +X0000607400Y0000621796D01* +X0000607699Y0000622396D01* +X0000608100Y0000622896D01* +X0000608299Y0000623396D01* +X0000608599Y0000623796D01* +X0000608799Y0000624196D01* +X0000608899Y0000624296D01* +X0000608899Y0000624396D01* +X0000609000Y0000624296D01* +X0000609200Y0000624196D01* +X0000609699Y0000623996D01* +X0000610199Y0000623796D01* +X0000610900Y0000623396D01* +X0000611700Y0000622996D01* +X0000612599Y0000622596D01* +X0000613600Y0000622096D01* +X0000614700Y0000621596D01* +X0000615800Y0000620996D01* +X0000616999Y0000620396D01* +X0000618000Y0000619896D01* +X0000619199Y0000619296D01* +X0000620400Y0000618696D01* +X0000621500Y0000618196D01* +X0000622600Y0000617596D01* +X0000623599Y0000617096D01* +X0000624500Y0000616696D01* +X0000625199Y0000616296D01* +X0000625900Y0000615996D01* +X0000626400Y0000615796D01* +X0000626799Y0000615596D01* +X0000627000Y0000615496D01* +X0000627000Y0000615496D01* +X0000627000Y0000615496D01* +X0000626799Y0000615796D01* +X0000626499Y0000616096D01* +X0000626200Y0000616596D01* +X0000625699Y0000617196D01* +X0000625100Y0000617896D01* +X0000624500Y0000618696D01* +X0000623799Y0000619596D01* +X0000623100Y0000620496D01* +X0000622199Y0000621596D01* +X0000621399Y0000622596D01* +X0000620500Y0000623796D01* +X0000620299Y0000623996D01* +X0000613600Y0000632496D01* +X0000613799Y0000632796D01* +X0000613900Y0000632996D01* +X0000614100Y0000633296D01* +X0000614299Y0000633696D01* +X0000614599Y0000634196D01* +X0000614899Y0000634796D01* +X0000614899Y0000634796D01* +X0000615200Y0000635396D01* +X0000615599Y0000635896D01* +X0000615800Y0000636396D01* +X0000616100Y0000636796D01* +X0000616199Y0000636996D01* +X0000616600Y0000637596D01* +X0000618200Y0000636096D01* +X0000618800Y0000635496D01* +X0000619400Y0000634896D01* +X0000620100Y0000634296D01* +X0000620700Y0000633696D01* +X0000621200Y0000633196D01* +X0000622699Y0000631796D01* +X0000622100Y0000631396D01* +X0000621599Y0000630896D01* +X0000621200Y0000630296D01* +X0000620899Y0000629596D01* +X0000620799Y0000628996D01* +X0000620799Y0000628596D01* +X0000620899Y0000628296D01* +X0000621099Y0000627996D01* +X0000621399Y0000627796D01* +X0000621500Y0000627796D01* +X0000622000Y0000627496D01* +X0000622400Y0000627096D01* +X0000622499Y0000626896D01* +X0000622799Y0000626596D01* +X0000623100Y0000626396D01* +X0000623299Y0000626196D01* +X0000623299Y0000626196D01* +X0000623500Y0000626096D01* +X0000623700Y0000625996D01* +X0000623899Y0000625696D01* +X0000624300Y0000625396D01* +X0000624699Y0000624896D01* +X0000624800Y0000624796D01* +X0000625199Y0000624396D01* +X0000625600Y0000624196D01* +X0000625999Y0000623996D01* +X0000626400Y0000623896D01* +X0000626400Y0000623996D01* +X0000626700Y0000624096D01* +X0000627099Y0000624396D01* +X0000627500Y0000624796D01* +X0000627800Y0000625196D01* +X0000628100Y0000625496D01* +X0000628300Y0000625696D01* +X0000628400Y0000625796D01* +X0000628400Y0000625796D01* +X0000628499Y0000625696D01* +X0000628699Y0000625496D01* +X0000628999Y0000625196D01* +X0000629299Y0000624796D01* +X0000629700Y0000624396D01* +X0000629900Y0000624196D01* +X0000632499Y0000621096D01* +X0000634999Y0000618096D01* +X0000637300Y0000615196D01* +X0000638400Y0000613696D01* +X0000639800Y0000611896D01* +X0000640799Y0000612596D01* +X0000641400Y0000612996D01* +X0000642099Y0000613396D01* +X0000642699Y0000613796D01* +X0000643400Y0000614196D01* +X0000643900Y0000614496D01* +X0000644299Y0000614696D01* +X0000644599Y0000614896D01* +X0000644900Y0000614996D01* +X0000644900Y0000615096D01* +X0000645000Y0000615096D01* +X0000645000Y0000615096D01* +X0000645000Y0000615196D01* +X0000645000Y0000615296D01* +X0000644900Y0000615496D01* +X0000644799Y0000615696D01* +X0000644700Y0000615996D01* +X0000644599Y0000616296D01* +X0000644400Y0000616796D01* +X0000644100Y0000617296D01* +X0000643900Y0000617996D01* +X0000643499Y0000618696D01* +X0000643100Y0000619596D01* +X0000642699Y0000620696D01* +X0000642099Y0000621796D01* +X0000641499Y0000623196D01* +X0000640900Y0000624596D01* +X0000640499Y0000625496D01* +X0000639699Y0000627096D01* +X0000639000Y0000628896D01* +X0000638100Y0000630696D01* +X0000637199Y0000632596D01* +X0000636300Y0000634596D01* +X0000635400Y0000636596D01* +X0000634600Y0000638496D01* +X0000633699Y0000640396D01* +X0000632900Y0000642196D01* +X0000632100Y0000643896D01* +X0000631400Y0000645496D01* +X0000631400Y0000645496D01* +X0000630800Y0000646896D01* +X0000630200Y0000648096D01* +X0000629599Y0000649296D01* +X0000629100Y0000650396D01* +X0000628600Y0000651496D01* +X0000628199Y0000652396D01* +X0000627800Y0000653296D01* +X0000627500Y0000653996D01* +X0000627300Y0000654596D01* +X0000627099Y0000654996D01* +X0000626900Y0000655296D01* +X0000626900Y0000655396D01* +X0000626900Y0000655396D01* +X0000626900Y0000655496D01* +X0000627099Y0000655796D01* +X0000627300Y0000656096D01* +X0000627500Y0000656596D01* +X0000627899Y0000657196D01* +X0000628199Y0000657796D01* +X0000628600Y0000658496D01* +X0000628999Y0000659196D01* +X0000629400Y0000659996D01* +X0000629799Y0000660696D01* +X0000630200Y0000661296D01* +X0000630599Y0000661896D01* +X0000630899Y0000662396D01* +X0000631100Y0000662896D01* +X0000631300Y0000663196D01* +X0000631400Y0000663296D01* +X0000631499Y0000663496D01* +X0000631900Y0000662896D01* +X0000632100Y0000662396D01* +X0000632499Y0000662096D01* +X0000632900Y0000661896D01* +X0000633300Y0000661896D01* +X0000634000Y0000661996D01* +X0000634699Y0000662196D01* +X0000635299Y0000662596D01* +X0000636000Y0000663196D01* +X0000636699Y0000663996D01* +X0000637100Y0000664596D01* +X0000637699Y0000665496D01* +X0000638200Y0000666196D01* +X0000638499Y0000666796D01* +X0000638700Y0000667296D01* +X0000638799Y0000667796D01* +X0000638900Y0000667796D01* +X0000639000Y0000668296D01* +X0000639000Y0000668696D01* +X0000639000Y0000668996D01* +X0000638900Y0000669296D01* +X0000638700Y0000669596D01* +X0000638400Y0000669896D01* +X0000638100Y0000670196D01* +X0000637799Y0000670596D01* +X0000637400Y0000670996D01* +X0000637100Y0000671296D01* +X0000636800Y0000671596D01* +X0000636399Y0000671996D01* +X0000638100Y0000674896D01* +X0000638499Y0000675596D01* +X0000638799Y0000676196D01* +X0000639099Y0000676696D01* +X0000639399Y0000677196D01* +X0000639599Y0000677596D01* +X0000639800Y0000677796D01* +X0000639800Y0000677796D01* +X0000639800Y0000677696D01* +X0000639899Y0000677496D01* +X0000640000Y0000676996D01* +X0000640199Y0000676396D01* +X0000640499Y0000675696D01* +X0000640699Y0000674796D01* +X0000640999Y0000673696D01* +X0000641400Y0000672496D01* +X0000641799Y0000671196D01* +X0000642200Y0000669796D01* +X0000642599Y0000668296D01* +X0000643100Y0000666696D01* +X0000643600Y0000664996D01* +X0000644100Y0000663196D01* +X0000644700Y0000661296D01* +X0000645200Y0000659396D01* +X0000645800Y0000657396D01* +X0000646400Y0000655396D01* +X0000646999Y0000653296D01* +X0000647599Y0000651196D01* +X0000648200Y0000648996D01* +X0000648800Y0000646896D01* +X0000649499Y0000644696D01* +X0000650100Y0000642596D01* +X0000650700Y0000640396D01* +X0000651300Y0000638296D01* +X0000651899Y0000636196D01* +X0000652499Y0000634196D01* +X0000653100Y0000632096D01* +X0000653599Y0000630196D01* +X0000653900Y0000629096D01* +X0000654300Y0000627796D01* +X0000654699Y0000626496D01* +X0000655000Y0000625296D01* +X0000655300Y0000624196D01* +X0000655600Y0000623096D01* +X0000655899Y0000622196D01* +X0000656200Y0000621396D01* +X0000656400Y0000620696D01* +X0000656499Y0000620096D01* +X0000656599Y0000619696D01* +X0000656700Y0000619496D01* +X0000656700Y0000619496D01* +X0000656799Y0000619396D01* +X0000657099Y0000619496D01* +X0000657500Y0000619496D01* +X0000658000Y0000619596D01* +X0000658100Y0000619596D01* +X0000660200Y0000619996D01* +X0000662400Y0000620196D01* +X0000664499Y0000620396D01* +X0000666699Y0000620496D01* +X0000668700Y0000620396D01* +X0000670300Y0000620396D01* +X0000670899Y0000620296D01* +X0000671299Y0000620296D01* +X0000671499Y0000620296D01* +X0000671700Y0000620396D01* +X0000671799Y0000620496D01* +X0000671799Y0000620696D01* +X0000671799Y0000620796D01* +X0000671799Y0000620996D01* +X0000671900Y0000621396D01* +X0000671900Y0000621796D01* +X0000672000Y0000622296D01* +X0000672099Y0000623296D01* +X0000672099Y0000624096D01* +X0000672099Y0000624696D01* +X0000672000Y0000624996D01* +X0000671799Y0000625196D01* +X0000671599Y0000625496D01* +X0000671299Y0000625796D01* +X0000670899Y0000626196D01* +X0000670699Y0000626296D01* +X0000670100Y0000626796D01* +X0000669699Y0000627296D01* +X0000669300Y0000627696D01* +X0000669000Y0000628096D01* +X0000668799Y0000628496D01* +X0000668599Y0000628696D01* +X0000668299Y0000629296D01* +X0000668599Y0000630796D01* +X0000668700Y0000631396D01* +X0000668900Y0000632096D01* +X0000669000Y0000632696D01* +X0000669099Y0000633196D01* +X0000669200Y0000633296D01* +X0000669300Y0000633696D01* +X0000669399Y0000634096D01* +X0000669399Y0000634396D01* +X0000669399Y0000634496D01* +X0000669200Y0000634796D01* +X0000668900Y0000635196D01* +X0000668599Y0000635496D01* +X0000668299Y0000635596D01* +X0000668100Y0000635696D01* +X0000667899Y0000635796D01* +X0000667600Y0000635796D01* +X0000667300Y0000635796D01* +X0000667000Y0000635696D01* +X0000666500Y0000635696D01* +X0000666099Y0000635696D01* +X0000665900Y0000635696D01* +X0000665700Y0000635896D01* +X0000665599Y0000636096D01* +X0000665599Y0000636296D01* +X0000665299Y0000636496D01* +X0000665200Y0000636596D01* +X0000664999Y0000636696D01* +X0000664800Y0000636696D01* +X0000664499Y0000636696D01* +X0000664300Y0000636596D01* +X0000663899Y0000636496D01* +X0000663500Y0000636396D01* +X0000663000Y0000636196D01* +X0000662700Y0000635996D01* +X0000662200Y0000635796D01* +X0000661800Y0000635596D01* +X0000661600Y0000635596D01* +X0000661400Y0000635596D01* +X0000661300Y0000635796D01* +X0000661100Y0000635996D01* +X0000661100Y0000636096D01* +X0000661000Y0000636196D01* +X0000661000Y0000636496D01* +X0000660899Y0000636896D01* +X0000660800Y0000637396D01* +X0000660800Y0000637996D01* +X0000660699Y0000638796D01* +X0000660599Y0000639696D01* +X0000660500Y0000640896D01* +X0000660500Y0000641896D01* +X0000660399Y0000642296D01* +X0000660399Y0000642896D01* +X0000660399Y0000643596D01* +X0000660399Y0000644296D01* +X0000660399Y0000645196D01* +X0000660399Y0000646196D01* +X0000660300Y0000647196D01* +X0000660300Y0000648196D01* +X0000660300Y0000649296D01* +X0000660300Y0000650296D01* +X0000660300Y0000651396D01* +X0000660300Y0000652396D01* +X0000660300Y0000653296D01* +X0000660300Y0000654196D01* +X0000660300Y0000654996D01* +X0000660300Y0000655696D01* +X0000660300Y0000656296D01* +X0000660300Y0000656696D01* +X0000660300Y0000656896D01* +X0000660300Y0000656996D01* +X0000660399Y0000656896D01* +X0000660399Y0000657896D01* +X0000660399Y0000658196D01* +X0000660399Y0000658296D01* +X0000660399Y0000658396D01* +X0000660399Y0000658296D01* +X0000660399Y0000657996D01* +X0000660399Y0000657896D01* +X0000660399Y0000657696D01* +X0000660399Y0000657496D01* +X0000660399Y0000657496D01* +X0000660399Y0000657596D01* +X0000660399Y0000657896D01* +X0000660399Y0000656896D01* +X0000660399Y0000656896D01* +X0000660500Y0000656696D01* +X0000660500Y0000656396D01* +X0000660500Y0000656296D01* +X0000660500Y0000662896D01* +X0000660500Y0000663196D01* +X0000660500Y0000663696D01* +X0000660599Y0000664196D01* +X0000660599Y0000664896D01* +X0000660599Y0000665696D01* +X0000660699Y0000666496D01* +X0000660699Y0000666696D01* +X0000661000Y0000671896D01* +X0000661300Y0000677296D01* +X0000661699Y0000682796D01* +X0000662200Y0000688496D01* +X0000662799Y0000694396D01* +X0000663399Y0000700396D01* +X0000664100Y0000706596D01* +X0000664400Y0000709596D01* +X0000664899Y0000713996D01* +X0000665499Y0000712896D01* +X0000665900Y0000711996D01* +X0000666200Y0000711196D01* +X0000666300Y0000710996D01* +X0000666500Y0000710196D01* +X0000666800Y0000709596D01* +X0000667100Y0000708996D01* +X0000667499Y0000708596D01* +X0000667699Y0000708396D01* +X0000667899Y0000708196D01* +X0000668299Y0000707796D01* +X0000668700Y0000707296D01* +X0000669099Y0000706896D01* +X0000669300Y0000706596D01* +X0000669800Y0000706196D01* +X0000670199Y0000705696D01* +X0000670600Y0000705296D01* +X0000670999Y0000704896D01* +X0000671100Y0000704796D01* +X0000671599Y0000704396D01* +X0000672099Y0000703896D01* +X0000672599Y0000703396D01* +X0000673000Y0000702896D01* +X0000673100Y0000702596D01* +X0000673300Y0000702296D01* +X0000673400Y0000701896D01* +X0000673499Y0000701696D01* +X0000673600Y0000701196D01* +X0000673800Y0000700796D01* +X0000673900Y0000700596D01* +X0000673999Y0000700296D01* +X0000674299Y0000699996D01* +X0000674700Y0000699496D01* +X0000675099Y0000698996D01* +X0000675699Y0000698396D01* +X0000676300Y0000697796D01* +X0000676900Y0000697196D01* +X0000677499Y0000696696D01* +X0000677599Y0000696596D01* +X0000678300Y0000695996D01* +X0000678699Y0000695396D01* +X0000679100Y0000694896D01* +X0000679400Y0000694296D01* +X0000679499Y0000694096D01* +X0000679800Y0000693596D01* +X0000680200Y0000693196D01* +X0000680700Y0000692996D01* +X0000681300Y0000692896D01* +X0000682000Y0000692996D01* +X0000682600Y0000693096D01* +X0000683299Y0000693396D01* +X0000683900Y0000693696D01* +X0000684599Y0000694196D01* +X0000685300Y0000694896D01* +X0000685999Y0000695696D01* +X0000686599Y0000696496D01* +X0000687000Y0000697196D01* +X0000687500Y0000697796D01* +X0000687899Y0000698496D01* +X0000688199Y0000699096D01* +X0000688499Y0000699596D01* +X0000688800Y0000700096D01* +X0000688900Y0000700396D01* +X0000688900Y0000700396D01* +X0000688999Y0000700796D01* +X0000689099Y0000701196D01* +X0000689099Y0000701696D01* +X0000689200Y0000702096D01* +X0000689200Y0000702496D01* +X0000689200Y0000702596D01* +X0000689200Y0000702796D01* +X0000689099Y0000702996D01* +X0000688900Y0000703196D01* +X0000688699Y0000703596D01* +X0000688300Y0000703996D01* +X0000687899Y0000704496D01* +X0000687300Y0000704996D01* +X0000686599Y0000705796D01* +X0000686200Y0000706196D01* +X0000685499Y0000706896D01* +X0000684899Y0000707496D01* +X0000684399Y0000707996D01* +X0000684000Y0000708496D01* +X0000683700Y0000708896D01* +X0000683400Y0000709396D01* +X0000683200Y0000709796D01* +X0000682900Y0000710296D01* +X0000682699Y0000710696D01* +X0000682499Y0000711196D01* +X0000682199Y0000711696D01* +X0000682000Y0000712196D01* +X0000681899Y0000712396D01* +X0000681599Y0000712896D01* +X0000681200Y0000713396D01* +X0000680900Y0000713996D01* +X0000680599Y0000714596D01* +X0000680299Y0000715096D01* +X0000680099Y0000715496D01* +X0000679999Y0000715896D01* +X0000679900Y0000715996D01* +X0000679800Y0000716296D01* +X0000679800Y0000716596D01* +X0000679800Y0000716596D01* +X0000679699Y0000716896D01* +X0000679499Y0000717196D01* +X0000679199Y0000717596D01* +X0000678800Y0000717996D01* +X0000678300Y0000718396D01* +X0000677900Y0000718696D01* +X0000677499Y0000718896D01* +X0000677200Y0000719096D01* +X0000677100Y0000719096D01* +X0000676900Y0000718996D01* +X0000676499Y0000718996D01* +X0000676100Y0000718796D01* +X0000675500Y0000718596D01* +X0000675000Y0000718496D01* +X0000674900Y0000718396D01* +X0000674299Y0000718196D01* +X0000673800Y0000717996D01* +X0000673400Y0000717896D01* +X0000673100Y0000717896D01* +X0000672899Y0000717796D01* +X0000672699Y0000717796D01* +X0000672500Y0000717796D01* +X0000672200Y0000717796D01* +X0000671599Y0000717896D01* +X0000670899Y0000717996D01* +X0000670199Y0000718196D01* +X0000669599Y0000718396D01* +X0000669099Y0000718596D01* +X0000669000Y0000718696D01* +X0000668599Y0000718896D01* +X0000668200Y0000718996D01* +X0000668100Y0000718996D01* +X0000667600Y0000718996D01* +X0000667000Y0000718796D01* +X0000666500Y0000718596D01* +X0000666000Y0000718396D01* +X0000665900Y0000718296D01* +X0000665700Y0000718096D01* +X0000665499Y0000717996D01* +X0000665400Y0000717996D01* +X0000665400Y0000718096D01* +X0000665400Y0000718296D01* +X0000665400Y0000718396D01* +X0000665400Y0000718696D01* +X0000665299Y0000719196D01* +X0000665100Y0000719596D01* +X0000664999Y0000719896D01* +X0000664699Y0000720996D01* +X0000669800Y0000729896D01* +X0000670600Y0000731196D01* +X0000671200Y0000732296D01* +X0000671900Y0000733396D01* +X0000672500Y0000734496D01* +X0000673000Y0000735396D01* +X0000673499Y0000736296D01* +X0000673999Y0000736996D01* +X0000674299Y0000737696D01* +X0000674599Y0000738196D01* +X0000674900Y0000738596D01* +X0000675000Y0000738796D01* +X0000675000Y0000738896D01* +X0000675000Y0000738796D01* +X0000675200Y0000738496D01* +X0000675399Y0000738096D01* +X0000675699Y0000737596D01* +X0000676100Y0000736996D01* +X0000676499Y0000736196D01* +X0000677100Y0000735296D01* +X0000677599Y0000734296D01* +X0000678300Y0000733196D01* +X0000678899Y0000732096D01* +X0000679600Y0000730896D01* +X0000680299Y0000729596D01* +X0000681099Y0000728296D01* +X0000681899Y0000726996D01* +X0000682600Y0000725596D01* +X0000683400Y0000724196D01* +X0000684200Y0000722896D01* +X0000685000Y0000721496D01* +X0000685800Y0000720196D01* +X0000686499Y0000718896D01* +X0000687300Y0000717596D01* +X0000688000Y0000716396D01* +X0000688600Y0000715196D01* +X0000689200Y0000714196D01* +X0000689799Y0000713196D01* +X0000690300Y0000712296D01* +X0000690800Y0000711496D01* +X0000691100Y0000710796D01* +X0000691499Y0000710296D01* +X0000691699Y0000709796D01* +X0000691800Y0000709596D01* +X0000691900Y0000709496D01* +X0000691900Y0000709396D01* +X0000691800Y0000708996D01* +X0000691699Y0000708596D01* +X0000691600Y0000707896D01* +X0000691499Y0000707096D01* +X0000691399Y0000706196D01* +X0000691199Y0000705196D01* +X0000691000Y0000703996D01* +X0000690800Y0000702796D01* +X0000690500Y0000701396D01* +X0000690300Y0000699996D01* +X0000690000Y0000698496D01* +X0000689799Y0000696996D01* +X0000689499Y0000695396D01* +X0000689200Y0000693796D01* +X0000688900Y0000692196D01* +X0000688600Y0000690596D01* +X0000688399Y0000688896D01* +X0000688100Y0000687296D01* +X0000687800Y0000685796D01* +X0000687500Y0000684196D01* +X0000687300Y0000682696D01* +X0000687000Y0000681296D01* +X0000686799Y0000679996D01* +X0000686499Y0000678696D01* +X0000686299Y0000677596D01* +X0000686200Y0000676496D01* +X0000685999Y0000675596D01* +X0000685800Y0000674796D01* +X0000685699Y0000674196D01* +X0000685600Y0000673696D01* +X0000685600Y0000673396D01* +X0000685499Y0000673296D01* +X0000685499Y0000673096D01* +X0000685400Y0000672896D01* +X0000685300Y0000672496D01* +X0000685199Y0000672096D01* +X0000685000Y0000671596D01* +X0000684899Y0000670896D01* +X0000684699Y0000670196D01* +X0000684500Y0000669296D01* +X0000684300Y0000668296D01* +X0000684099Y0000667196D01* +X0000683799Y0000665896D01* +X0000683499Y0000664496D01* +X0000683200Y0000662896D01* +X0000682800Y0000661196D01* +X0000682400Y0000659296D01* +X0000682000Y0000657196D01* +X0000681599Y0000654996D01* +X0000681200Y0000653196D01* +X0000680900Y0000651596D01* +X0000680599Y0000650196D01* +X0000680299Y0000648896D01* +X0000680099Y0000647796D01* +X0000679900Y0000646796D01* +X0000679699Y0000645996D01* +X0000679600Y0000645296D01* +X0000679400Y0000644696D01* +X0000679300Y0000644196D01* +X0000679300Y0000643796D01* +X0000679199Y0000643496D01* +X0000679100Y0000643196D01* +X0000679100Y0000643096D01* +X0000679100Y0000642896D01* +X0000679000Y0000642896D01* +X0000679000Y0000642796D01* +X0000679000Y0000642796D01* +X0000678899Y0000642896D01* +X0000678800Y0000643096D01* +X0000678800Y0000643196D01* +X0000678699Y0000643496D01* +X0000678500Y0000643996D01* +X0000678200Y0000644496D01* +X0000677900Y0000645096D01* +X0000677499Y0000645796D01* +X0000677100Y0000646496D01* +X0000676800Y0000646996D01* +X0000676499Y0000647596D01* +X0000676199Y0000648196D01* +X0000675899Y0000648796D01* +X0000675699Y0000649196D01* +X0000675300Y0000650196D01* +X0000674900Y0000651296D01* +X0000674299Y0000652496D01* +X0000673699Y0000653796D01* +X0000673100Y0000655096D01* +X0000672500Y0000656296D01* +X0000671900Y0000657596D01* +X0000671499Y0000658296D01* +X0000670000Y0000661296D01* +X0000669200Y0000662096D01* +X0000668200Y0000662996D01* +X0000667199Y0000663796D01* +X0000666200Y0000664296D01* +X0000665299Y0000664796D01* +X0000664400Y0000664996D01* +X0000663599Y0000665096D01* +X0000662900Y0000665196D01* +X0000662200Y0000665096D01* +X0000661800Y0000664996D01* +X0000661400Y0000664796D01* +X0000661400Y0000664696D01* +X0000661300Y0000664496D01* +X0000661100Y0000664196D01* +X0000660899Y0000663796D01* +X0000660899Y0000663596D01* +X0000660699Y0000663296D01* +X0000660599Y0000662996D01* +X0000660500Y0000662896D01* +X0000660500Y0000662796D01* +X0000660500Y0000662896D01* +X0000660500Y0000656296D01* +X0000660599Y0000655796D01* +X0000660800Y0000655296D01* +X0000661000Y0000654896D01* +X0000661400Y0000654396D01* +X0000661899Y0000653996D01* +X0000662499Y0000653496D01* +X0000662900Y0000653196D01* +X0000663099Y0000652896D01* +X0000663200Y0000652696D01* +X0000663200Y0000652596D01* +X0000663099Y0000652496D01* +X0000663000Y0000652296D01* +X0000663000Y0000651996D01* +X0000663000Y0000651596D01* +X0000663200Y0000650996D01* +X0000663399Y0000650296D01* +X0000663500Y0000650196D01* +X0000664000Y0000648896D01* +X0000664499Y0000648796D01* +X0000665100Y0000648596D01* +X0000665599Y0000648596D01* +X0000666099Y0000648596D01* +X0000666500Y0000648696D01* +X0000667000Y0000648996D01* +X0000667100Y0000648996D01* +X0000667600Y0000649396D01* +X0000668200Y0000649496D01* +X0000668700Y0000649496D01* +X0000668900Y0000649496D01* +X0000669200Y0000649296D01* +X0000669399Y0000649096D01* +X0000669399Y0000648796D01* +X0000669399Y0000648496D01* +X0000669399Y0000648196D01* +X0000669399Y0000647996D01* +X0000669500Y0000647696D01* +X0000669599Y0000647296D01* +X0000669699Y0000647196D01* +X0000669899Y0000646696D01* +X0000670100Y0000646196D01* +X0000670300Y0000645796D01* +X0000670400Y0000645796D01* +X0000670600Y0000645396D01* +X0000670800Y0000644996D01* +X0000670999Y0000644496D01* +X0000671100Y0000644496D01* +X0000671299Y0000644096D01* +X0000671599Y0000643596D01* +X0000671900Y0000643096D01* +X0000672000Y0000642996D01* +X0000672500Y0000642396D01* +X0000672899Y0000641696D01* +X0000673300Y0000640996D01* +X0000673699Y0000640296D01* +X0000673900Y0000639796D01* +X0000673900Y0000639796D01* +X0000674100Y0000639396D01* +X0000674100Y0000639196D01* +X0000674100Y0000639096D01* +X0000673999Y0000638996D01* +X0000673999Y0000638896D01* +X0000673800Y0000638696D01* +X0000673699Y0000638396D01* +X0000673699Y0000638396D01* +X0000673499Y0000638196D01* +X0000673300Y0000637796D01* +X0000673000Y0000637496D01* +X0000672899Y0000637296D01* +X0000672599Y0000636996D01* +X0000672399Y0000636696D01* +X0000672300Y0000636396D01* +X0000672300Y0000636096D01* +X0000672399Y0000635696D01* +X0000672399Y0000635396D01* +X0000672500Y0000634996D01* +X0000672699Y0000634696D01* +X0000672899Y0000634396D01* +X0000673000Y0000634296D01* +X0000673100Y0000634196D01* +X0000673199Y0000634096D01* +X0000673300Y0000633996D01* +X0000673400Y0000633996D01* +X0000673600Y0000633896D01* +X0000673800Y0000633896D01* +X0000674100Y0000633896D01* +X0000674599Y0000633896D01* +X0000675000Y0000633896D01* +X0000675800Y0000633996D01* +X0000676699Y0000633996D01* +X0000676800Y0000633996D01* +X0000677499Y0000633996D01* +X0000678099Y0000633996D01* +X0000678500Y0000633996D01* +X0000678699Y0000633996D01* +X0000678899Y0000633996D01* +X0000679000Y0000633996D01* +X0000679000Y0000633896D01* +X0000679000Y0000633796D01* +X0000678899Y0000633496D01* +X0000678899Y0000633096D01* +X0000678800Y0000632496D01* +X0000678599Y0000631696D01* +X0000678500Y0000630896D01* +X0000678300Y0000629896D01* +X0000678200Y0000628896D01* +X0000678000Y0000627796D01* +X0000677799Y0000626696D01* +X0000677599Y0000625496D01* +X0000677400Y0000624396D01* +X0000677200Y0000623396D01* +X0000677100Y0000622496D01* +X0000676999Y0000621596D01* +X0000676800Y0000620896D01* +X0000676699Y0000620296D01* +X0000676699Y0000619896D01* +X0000676600Y0000619596D01* +X0000676600Y0000619496D01* +X0000676699Y0000619496D01* +X0000676999Y0000619396D01* +X0000677400Y0000619296D01* +X0000677900Y0000619196D01* +X0000678300Y0000619096D01* +X0000678899Y0000618896D01* +X0000679699Y0000618696D01* +X0000680499Y0000618496D01* +X0000681099Y0000618296D01* +X0000681200Y0000618196D01* +X0000681699Y0000618096D01* +X0000682199Y0000617996D01* +X0000682499Y0000617896D01* +X0000682699Y0000617896D01* +X0000682699Y0000617896D01* +X0000682800Y0000617996D01* +X0000682900Y0000618296D01* +X0000682999Y0000618696D01* +X0000683299Y0000619296D01* +X0000683499Y0000620096D01* +X0000683799Y0000620996D01* +X0000684200Y0000622096D01* +X0000684599Y0000623296D01* +X0000685100Y0000624596D01* +X0000685600Y0000625996D01* +X0000686099Y0000627596D01* +X0000686700Y0000629196D01* +X0000687300Y0000630996D01* +X0000687899Y0000632796D01* +X0000688600Y0000634696D01* +X0000689299Y0000636696D01* +X0000690000Y0000638696D01* +X0000690700Y0000640796D01* +X0000691399Y0000642996D01* +X0000692200Y0000645196D01* +X0000692900Y0000647396D01* +X0000693700Y0000649696D01* +X0000694499Y0000651896D01* +X0000695299Y0000654196D01* +X0000696000Y0000656496D01* +X0000696800Y0000658796D01* +X0000697600Y0000660996D01* +X0000698400Y0000663296D01* +X0000699099Y0000665496D01* +X0000699899Y0000667696D01* +X0000700600Y0000669796D01* +X0000701299Y0000671896D01* +X0000702000Y0000673896D01* +X0000702700Y0000675896D01* +X0000702899Y0000676696D01* +X0000703399Y0000677896D01* +X0000703800Y0000679096D01* +X0000704100Y0000680196D01* +X0000704499Y0000681296D01* +X0000704799Y0000682196D01* +X0000705099Y0000682996D01* +X0000705399Y0000683796D01* +X0000705599Y0000684396D01* +X0000705700Y0000684796D01* +X0000705800Y0000685096D01* +X0000705899Y0000685196D01* +X0000705899Y0000685196D01* +X0000705899Y0000685096D01* +X0000706100Y0000684896D01* +X0000706300Y0000684496D01* +X0000706600Y0000683996D01* +X0000706999Y0000683396D01* +X0000707400Y0000682696D01* +X0000707900Y0000681896D01* +X0000708399Y0000680996D01* +X0000708899Y0000680096D01* +X0000709499Y0000679096D01* +X0000710100Y0000678096D01* +X0000710599Y0000677096D01* +X0000711200Y0000676096D01* +X0000711800Y0000675096D01* +X0000712399Y0000674196D01* +X0000712900Y0000673196D01* +X0000713400Y0000672396D01* +X0000713799Y0000671596D01* +X0000714200Y0000670896D01* +X0000714599Y0000670296D01* +X0000714899Y0000669796D01* +X0000715000Y0000669396D01* +X0000715199Y0000669196D01* +X0000715199Y0000669096D01* +X0000715100Y0000668996D01* +X0000715000Y0000668696D01* +X0000714800Y0000668296D01* +X0000714500Y0000667796D01* +X0000714200Y0000667096D01* +X0000713799Y0000666296D01* +X0000713299Y0000665296D01* +X0000712800Y0000664296D01* +X0000712199Y0000663196D01* +X0000711599Y0000661996D01* +X0000711000Y0000660796D01* +X0000710299Y0000659496D01* +X0000709999Y0000658896D01* +X0000709399Y0000657696D01* +X0000708700Y0000656396D01* +X0000708000Y0000654896D01* +X0000707100Y0000653296D01* +X0000706300Y0000651496D01* +X0000705300Y0000649696D01* +X0000704400Y0000647796D01* +X0000703399Y0000645896D01* +X0000702300Y0000643896D01* +X0000701299Y0000641896D01* +X0000700300Y0000639796D01* +X0000699300Y0000637796D01* +X0000698199Y0000635796D01* +X0000697199Y0000633896D01* +X0000696399Y0000632196D01* +X0000687899Y0000615596D01* +X0000688600Y0000615196D01* +X0000690500Y0000614096D01* +X0000692200Y0000613096D01* +X0000693899Y0000611996D01* +X0000695499Y0000610796D01* +X0000695900Y0000610496D01* +X0000696000Y0000610496D01* +X0000696300Y0000610696D01* +X0000696599Y0000610996D01* +X0000697100Y0000611296D01* +X0000697800Y0000611796D01* +X0000698499Y0000612396D01* +X0000698599Y0000612396D01* +X0000700199Y0000613696D01* +X0000702000Y0000614996D01* +X0000703900Y0000616496D01* +X0000705899Y0000617996D01* +X0000708099Y0000619596D01* +X0000710299Y0000621196D01* +X0000712100Y0000622496D01* +X0000712100Y0000640696D01* +X0000712199Y0000641296D01* +X0000712499Y0000641896D01* +X0000712999Y0000642596D01* +X0000713400Y0000643096D01* +X0000713799Y0000643596D01* +X0000714099Y0000643996D01* +X0000714300Y0000644496D01* +X0000714399Y0000644896D01* +X0000714399Y0000644996D01* +X0000714599Y0000645396D01* +X0000714800Y0000645796D01* +X0000715100Y0000646096D01* +X0000715699Y0000646796D01* +X0000715999Y0000647596D01* +X0000716200Y0000648196D01* +X0000716299Y0000648496D01* +X0000716400Y0000648696D01* +X0000716700Y0000648796D01* +X0000717200Y0000648896D01* +X0000717300Y0000648896D01* +X0000718100Y0000648996D01* +X0000718900Y0000648896D01* +X0000719700Y0000648596D01* +X0000720599Y0000648196D01* +X0000721300Y0000647596D01* +X0000721600Y0000647396D01* +X0000721900Y0000646996D01* +X0000721900Y0000646596D01* +X0000721900Y0000646096D01* +X0000721900Y0000645996D01* +X0000721699Y0000645496D01* +X0000721399Y0000644896D01* +X0000721100Y0000644296D01* +X0000720700Y0000643496D01* +X0000720300Y0000642796D01* +X0000719799Y0000641996D01* +X0000719400Y0000641496D01* +X0000719200Y0000640996D01* +X0000718999Y0000640696D01* +X0000718900Y0000640296D01* +X0000718800Y0000639996D01* +X0000718800Y0000639996D01* +X0000718600Y0000639396D01* +X0000718399Y0000638896D01* +X0000718199Y0000638596D01* +X0000718000Y0000638296D01* +X0000717599Y0000638096D01* +X0000717099Y0000637896D01* +X0000716700Y0000637796D01* +X0000716299Y0000637596D01* +X0000715999Y0000637596D01* +X0000715800Y0000637496D01* +X0000715699Y0000637496D01* +X0000715600Y0000637596D01* +X0000715499Y0000637596D01* +X0000715300Y0000637796D01* +X0000715000Y0000637996D01* +X0000715000Y0000637996D01* +X0000714700Y0000638196D01* +X0000714300Y0000638396D01* +X0000714099Y0000638496D01* +X0000713499Y0000638896D01* +X0000712900Y0000639196D01* +X0000712499Y0000639596D01* +X0000712300Y0000639796D01* +X0000712100Y0000640196D01* +X0000712100Y0000640696D01* +X0000712100Y0000622496D01* +X0000712600Y0000622896D01* +X0000715000Y0000624596D01* +X0000717500Y0000626396D01* +X0000719900Y0000628196D01* +X0000722400Y0000629896D01* +X0000724900Y0000631696D01* +X0000727300Y0000633396D01* +X0000729800Y0000635096D01* +X0000732200Y0000636696D01* +X0000732200Y0000636696D01* +X0000733399Y0000637596D01* +X0000733800Y0000636996D01* +X0000733900Y0000636896D01* +X0000733999Y0000636596D01* +X0000734299Y0000636196D01* +X0000734700Y0000635596D01* +X0000735099Y0000634796D01* +X0000735599Y0000633896D01* +X0000736199Y0000632796D01* +X0000736900Y0000631596D01* +X0000737700Y0000630296D01* +X0000738500Y0000628796D01* +X0000739499Y0000627196D01* +X0000740499Y0000625496D01* +X0000741500Y0000623696D01* +X0000742600Y0000621696D01* +X0000743799Y0000619696D01* +X0000745100Y0000617496D01* +X0000746400Y0000615196D01* +X0000747800Y0000612896D01* +X0000749200Y0000610396D01* +X0000750700Y0000607796D01* +X0000752200Y0000605196D01* +X0000753800Y0000602496D01* +X0000755400Y0000599696D01* +X0000757000Y0000596796D01* +X0000758700Y0000593896D01* +X0000760499Y0000590796D01* +X0000762200Y0000587796D01* +X0000764100Y0000584696D01* +X0000765899Y0000581496D01* +X0000767700Y0000578196D01* +X0000769600Y0000575096D01* +X0000771500Y0000571796D01* +X0000773499Y0000568396D01* +X0000775399Y0000564996D01* +X0000777399Y0000561596D01* +X0000779400Y0000558196D01* +X0000781399Y0000554796D01* +X0000783399Y0000551296D01* +X0000785400Y0000547796D01* +X0000787399Y0000544396D01* +X0000789399Y0000540896D01* +X0000791400Y0000537396D01* +X0000793399Y0000533896D01* +X0000795399Y0000530496D01* +X0000797400Y0000526996D01* +X0000799399Y0000523596D01* +X0000801299Y0000520196D01* +X0000803299Y0000516796D01* +X0000805199Y0000513496D01* +X0000807099Y0000510196D01* +X0000808999Y0000506896D01* +X0000810899Y0000503696D01* +X0000812700Y0000500496D01* +X0000814499Y0000497396D01* +X0000816299Y0000494296D01* +X0000817999Y0000491296D01* +X0000819700Y0000488296D01* +X0000821400Y0000485496D01* +X0000823000Y0000482696D01* +X0000824600Y0000479896D01* +X0000826100Y0000477296D01* +X0000827600Y0000474696D01* +X0000829000Y0000472296D01* +X0000830400Y0000469896D01* +X0000831700Y0000467596D01* +X0000832900Y0000465496D01* +X0000834099Y0000463396D01* +X0000835199Y0000461396D01* +X0000836299Y0000459596D01* +X0000837299Y0000457896D01* +X0000838199Y0000456296D01* +X0000839100Y0000454796D01* +X0000839799Y0000453496D01* +X0000840500Y0000452296D01* +X0000841100Y0000451196D01* +X0000841699Y0000450296D01* +X0000842100Y0000449496D01* +X0000842499Y0000448896D01* +X0000842700Y0000448496D01* +X0000842900Y0000448196D01* +X0000843000Y0000447996D01* +X0000843000Y0000447996D01* +X0000843000Y0000447996D01* +X0000842900Y0000447996D01* +X0000842799Y0000447996D01* +X0000842700Y0000447996D01* +X0000842499Y0000447996D01* +X0000842299Y0000447996D01* +X0000841999Y0000447996D01* +X0000841699Y0000447996D01* +X0000841300Y0000447996D01* +X0000840899Y0000447996D01* +X0000840399Y0000447996D01* +X0000839799Y0000447996D01* +X0000839199Y0000447996D01* +X0000838500Y0000447996D01* +X0000837800Y0000447996D01* +X0000836900Y0000447896D01* +X0000835999Y0000447896D01* +X0000835000Y0000447896D01* +X0000833900Y0000447896D01* +X0000832800Y0000447896D01* +X0000831500Y0000447896D01* +X0000830199Y0000447896D01* +X0000828700Y0000447896D01* +X0000827199Y0000447896D01* +X0000825599Y0000447896D01* +X0000823900Y0000447896D01* +X0000822000Y0000447896D01* +X0000820100Y0000447896D01* +X0000817999Y0000447896D01* +X0000815900Y0000447896D01* +X0000813599Y0000447896D01* +X0000811199Y0000447896D01* +X0000808699Y0000447896D01* +X0000806100Y0000447896D01* +X0000803299Y0000447896D01* +X0000800400Y0000447896D01* +X0000797400Y0000447896D01* +X0000794200Y0000447896D01* +X0000790900Y0000447896D01* +X0000787499Y0000447896D01* +X0000783899Y0000447896D01* +X0000780200Y0000447896D01* +X0000776299Y0000447896D01* +X0000772300Y0000447896D01* +X0000768099Y0000447896D01* +X0000763800Y0000447896D01* +X0000759299Y0000447896D01* +X0000754699Y0000447896D01* +X0000749900Y0000447896D01* +X0000744899Y0000447896D01* +X0000739699Y0000447896D01* +X0000734399Y0000447896D01* +X0000728900Y0000447896D01* +X0000723300Y0000447896D01* +X0000717399Y0000447896D01* +X0000711399Y0000447896D01* +X0000705099Y0000447896D01* +X0000698700Y0000447896D01* +X0000692099Y0000447896D01* +X0000685300Y0000447896D01* +X0000685199Y0000447896D01* +X0000678300Y0000447896D01* +X0000671799Y0000447896D01* +X0000665299Y0000447896D01* +X0000659100Y0000447896D01* +X0000652999Y0000447896D01* +X0000647100Y0000447896D01* +X0000641299Y0000447896D01* +X0000635799Y0000447896D01* +X0000630399Y0000447896D01* +X0000625199Y0000447896D01* +X0000620200Y0000447896D01* +X0000615399Y0000447896D01* +X0000610699Y0000447896D01* +X0000606099Y0000447896D01* +X0000601799Y0000447896D01* +X0000597599Y0000447896D01* +X0000593500Y0000447896D01* +X0000589600Y0000447896D01* +X0000585899Y0000447896D01* +X0000582200Y0000447896D01* +X0000578799Y0000447896D01* +X0000575500Y0000447896D01* +X0000572299Y0000447896D01* +X0000569200Y0000447896D01* +X0000566299Y0000447896D01* +X0000563500Y0000447896D01* +X0000560899Y0000447896D01* +X0000558300Y0000447896D01* +X0000555899Y0000447896D01* +X0000553600Y0000447896D01* +X0000551500Y0000447896D01* +X0000549399Y0000447896D01* +X0000547499Y0000447896D01* +X0000545599Y0000447896D01* +X0000543899Y0000447896D01* +X0000542299Y0000447896D01* +X0000540800Y0000447896D01* +X0000539400Y0000447896D01* +X0000537999Y0000447896D01* +X0000536799Y0000447896D01* +X0000535699Y0000447896D01* +X0000534600Y0000447896D01* +X0000533599Y0000447896D01* +X0000532799Y0000447996D01* +X0000531899Y0000447996D01* +X0000531200Y0000447996D01* +X0000530599Y0000447996D01* +X0000529999Y0000447996D01* +X0000529499Y0000447996D01* +X0000528999Y0000447996D01* +X0000528699Y0000447996D01* +X0000528300Y0000447996D01* +X0000528099Y0000447996D01* +X0000527899Y0000447996D01* +X0000527700Y0000447996D01* +X0000527599Y0000447996D01* +X0000527599Y0000447996D01* +X0000527599Y0000447996D01* +X0000527700Y0000448096D01* +X0000527899Y0000448296D01* +X0000528300Y0000448596D01* +X0000528800Y0000448996D01* +X0000529400Y0000449496D01* +X0000529999Y0000449996D01* +X0000530700Y0000450596D01* +X0000531500Y0000451196D01* +X0000532400Y0000451896D01* +X0000534300Y0000453496D01* +X0000536500Y0000455196D01* +X0000538699Y0000456996D01* +X0000541100Y0000458996D01* +X0000543600Y0000460996D01* +X0000546300Y0000463096D01* +X0000549000Y0000465396D01* +X0000551799Y0000467696D01* +X0000554700Y0000470096D01* +X0000557700Y0000472496D01* +X0000560799Y0000474996D01* +X0000564000Y0000477596D01* +X0000567200Y0000480296D01* +X0000570500Y0000482996D01* +X0000573800Y0000485696D01* +X0000577199Y0000488496D01* +X0000580600Y0000491196D01* +X0000583999Y0000494096D01* +X0000587400Y0000496896D01* +X0000590899Y0000499696D01* +X0000594399Y0000502596D01* +X0000597800Y0000505396D01* +X0000601199Y0000508296D01* +X0000604699Y0000511096D01* +X0000608100Y0000513896D01* +X0000611400Y0000516696D01* +X0000614799Y0000519496D01* +X0000618000Y0000522196D01* +X0000621300Y0000524896D01* +X0000622900Y0000526196D01* +X0000636300Y0000537396D01* +X0000635100Y0000538496D01* +X0000634699Y0000538896D01* +X0000634400Y0000539196D01* +X0000634100Y0000539496D01* +X0000633899Y0000539596D01* +X0000633800Y0000539696D01* +X0000633800Y0000539596D01* +X0000633500Y0000539496D01* +X0000633099Y0000539196D01* +X0000632700Y0000538896D01* +X0000632100Y0000538496D01* +X0000631400Y0000538096D01* +X0000630699Y0000537596D01* +X0000630399Y0000537296D01* +X0000629400Y0000536696D01* +X0000628300Y0000535896D01* +X0000627000Y0000535096D01* +X0000625699Y0000534196D01* +X0000624200Y0000533196D01* +X0000622699Y0000532196D01* +X0000621099Y0000531096D01* +X0000619499Y0000529996D01* +X0000617899Y0000528896D01* +X0000616199Y0000527896D01* +X0000614599Y0000526796D01* +X0000613000Y0000525696D01* +X0000611400Y0000524696D01* +X0000610600Y0000524096D01* +X0000609899Y0000523696D01* +X0000609200Y0000523196D01* +X0000608299Y0000522696D01* +X0000607400Y0000522096D01* +X0000606399Y0000521396D01* +X0000605400Y0000520696D01* +X0000604300Y0000519996D01* +X0000603200Y0000519296D01* +X0000602100Y0000518596D01* +X0000601000Y0000517896D01* +X0000599899Y0000517196D01* +X0000598900Y0000516496D01* +X0000597899Y0000515896D01* +X0000596899Y0000515296D01* +X0000596100Y0000514696D01* +X0000595300Y0000514196D01* +X0000594699Y0000513796D01* +X0000594200Y0000513396D01* +X0000593799Y0000513196D01* +X0000593500Y0000512996D01* +X0000593200Y0000512896D01* +X0000593500Y0000513096D01* +X0000593599Y0000513296D01* +X0000593700Y0000513396D01* +X0000593700Y0000513496D01* +X0000593599Y0000513596D01* +X0000593400Y0000513796D01* +X0000592999Y0000514096D01* +X0000592699Y0000514496D01* +X0000592199Y0000514896D01* +X0000591800Y0000515296D01* +X0000591300Y0000515696D01* +X0000590899Y0000516096D01* +X0000590500Y0000516496D01* +X0000590200Y0000516696D01* +X0000590200Y0000516696D01* +X0000589799Y0000516996D01* +X0000589499Y0000517296D01* +X0000589300Y0000517496D01* +X0000589199Y0000517596D01* +X0000589100Y0000517796D01* +X0000588899Y0000518096D01* +X0000588599Y0000518296D01* +X0000588300Y0000518496D01* +X0000588200Y0000518496D01* +X0000588000Y0000518496D01* +X0000587700Y0000518296D01* +X0000587700Y0000518196D01* +X0000587500Y0000518096D01* +X0000587200Y0000517996D01* +X0000586900Y0000517896D01* +X0000586400Y0000517696D01* +X0000585800Y0000517496D01* +X0000585500Y0000517396D01* +X0000584899Y0000517296D01* +X0000584200Y0000517096D01* +X0000583600Y0000516896D01* +X0000583100Y0000516796D01* +X0000582800Y0000516696D01* +X0000581799Y0000516396D01* +X0000580900Y0000515996D01* +X0000580400Y0000515796D01* +X0000579699Y0000515496D01* +X0000579200Y0000515196D01* +X0000579000Y0000515196D01* +X0000578500Y0000514996D01* +X0000577999Y0000514696D01* +X0000577400Y0000514496D01* +X0000576699Y0000514196D01* +X0000576200Y0000513896D01* +X0000575599Y0000513596D01* +X0000575100Y0000513296D01* +X0000574699Y0000513096D01* +X0000574499Y0000512996D01* +X0000574199Y0000512796D01* +X0000574000Y0000512596D01* +X0000573699Y0000512296D01* +X0000573699Y0000512196D01* +X0000573300Y0000511896D01* +X0000573099Y0000511596D01* +X0000572899Y0000511396D01* +X0000572799Y0000511096D01* +X0000572700Y0000510796D01* +X0000572700Y0000510396D01* +X0000572599Y0000509896D01* +X0000572599Y0000509396D01* +X0000572599Y0000508996D01* +X0000572599Y0000508596D01* +X0000572599Y0000508496D01* +X0000572799Y0000508296D01* +X0000573000Y0000508196D01* +X0000573500Y0000508096D01* +X0000574199Y0000507796D01* +X0000574900Y0000507496D01* +X0000575299Y0000507396D01* +X0000575700Y0000507196D01* +X0000576099Y0000507096D01* +X0000576500Y0000506996D01* +X0000576500Y0000506996D01* +X0000576800Y0000506996D01* +X0000577199Y0000506896D01* +X0000577600Y0000506896D01* +X0000577900Y0000506796D01* +X0000578500Y0000506696D01* +X0000579099Y0000506696D01* +X0000579599Y0000506696D01* +X0000580000Y0000506796D01* +X0000580499Y0000506896D01* +X0000580600Y0000506896D01* +X0000580999Y0000506996D01* +X0000581599Y0000507196D01* +X0000582200Y0000507296D01* +X0000582699Y0000507496D01* +X0000583600Y0000507696D01* +X0000584599Y0000507996D01* +X0000585699Y0000508396D01* +X0000586600Y0000508796D01* +X0000586799Y0000508896D01* +X0000587100Y0000508996D01* +X0000587299Y0000509096D01* +X0000587299Y0000509096D01* +X0000587299Y0000509096D01* +X0000587200Y0000508996D01* +X0000586999Y0000508796D01* +X0000586499Y0000508496D01* +X0000586000Y0000508196D01* +X0000585300Y0000507696D01* +X0000584400Y0000507196D01* +X0000583499Y0000506596D01* +X0000582399Y0000505896D01* +X0000581200Y0000505096D01* +X0000579899Y0000504296D01* +X0000578500Y0000503396D01* +X0000577000Y0000502396D01* +X0000575400Y0000501396D01* +X0000573800Y0000500296D01* +X0000571999Y0000499196D01* +X0000570200Y0000498096D01* +X0000568400Y0000496896D01* +X0000566400Y0000495696D01* +X0000564500Y0000494396D01* +X0000562499Y0000493096D01* +X0000560400Y0000491796D01* +X0000558399Y0000490496D01* +X0000556300Y0000489196D01* +X0000554200Y0000487896D01* +X0000552099Y0000486496D01* +X0000549999Y0000485196D01* +X0000547900Y0000483796D01* +X0000545799Y0000482496D01* +X0000543699Y0000481196D01* +X0000541699Y0000479896D01* +X0000539700Y0000478596D01* +X0000537699Y0000477396D01* +X0000535799Y0000476096D01* +X0000533899Y0000474896D01* +X0000532100Y0000473796D01* +X0000530299Y0000472696D01* +X0000528600Y0000471596D01* +X0000526999Y0000470596D01* +X0000525500Y0000469596D01* +X0000523999Y0000468696D01* +X0000522699Y0000467796D01* +X0000521500Y0000467096D01* +X0000520300Y0000466296D01* +X0000519300Y0000465696D01* +X0000518500Y0000465196D01* +X0000517699Y0000464696D01* +X0000517100Y0000464296D01* +X0000516599Y0000463996D01* +X0000516300Y0000463796D01* +X0000516099Y0000463696D01* +X0000516099Y0000463696D01* +X0000516099Y0000426896D01* +X0000517100Y0000426896D01* +X0000519300Y0000426896D01* +X0000521700Y0000426896D01* +X0000524200Y0000426896D01* +X0000526799Y0000426896D01* +X0000529499Y0000426896D01* +X0000532300Y0000426896D01* +X0000535300Y0000426896D01* +X0000538400Y0000426896D01* +X0000541600Y0000426896D01* +X0000544999Y0000426896D01* +X0000548400Y0000426896D01* +X0000552099Y0000426896D01* +X0000555800Y0000426896D01* +X0000559699Y0000426896D01* +X0000563700Y0000426896D01* +X0000567899Y0000426896D01* +X0000572299Y0000426896D01* +X0000576800Y0000426896D01* +X0000581400Y0000426896D01* +X0000586199Y0000426896D01* +X0000591200Y0000426896D01* +X0000596299Y0000426896D01* +X0000601600Y0000426896D01* +X0000607000Y0000426896D01* +X0000612599Y0000426896D01* +X0000618399Y0000426896D01* +X0000624399Y0000426896D01* +X0000630500Y0000426896D01* +X0000636899Y0000426796D01* +X0000643400Y0000426796D01* +X0000650100Y0000426796D01* +X0000656900Y0000426796D01* +X0000664000Y0000426796D01* +X0000671299Y0000426796D01* +X0000674499Y0000426796D01* +X0000680400Y0000426796D01* +X0000686499Y0000426796D01* +X0000692499Y0000426796D01* +X0000698400Y0000426796D01* +X0000704299Y0000426796D01* +X0000710100Y0000426796D01* +X0000715800Y0000426796D01* +X0000721499Y0000426796D01* +X0000727100Y0000426796D01* +X0000732700Y0000426796D01* +X0000738200Y0000426796D01* +X0000743599Y0000426796D01* +X0000748900Y0000426796D01* +X0000754199Y0000426796D01* +X0000759299Y0000426796D01* +X0000764400Y0000426796D01* +X0000769300Y0000426796D01* +X0000774200Y0000426896D01* +X0000778999Y0000426896D01* +X0000783700Y0000426896D01* +X0000788299Y0000426896D01* +X0000792700Y0000426896D01* +X0000797100Y0000426896D01* +X0000801399Y0000426896D01* +X0000805499Y0000426896D01* +X0000809499Y0000426896D01* +X0000813399Y0000426896D01* +X0000817199Y0000426896D01* +X0000820800Y0000426896D01* +X0000824299Y0000426896D01* +X0000827700Y0000426896D01* +X0000830900Y0000426896D01* +X0000834000Y0000426896D01* +X0000837000Y0000426896D01* +X0000839799Y0000426896D01* +X0000842400Y0000426896D01* +X0000844900Y0000426896D01* +X0000847300Y0000426896D01* +X0000849500Y0000426896D01* +X0000851499Y0000426896D01* +X0000853399Y0000426896D01* +X0000855000Y0000426896D01* +X0000856600Y0000426896D01* +X0000857900Y0000426896D01* +X0000859100Y0000426896D01* +X0000860100Y0000426896D01* +X0000860900Y0000426896D01* +X0000861500Y0000426896D01* +X0000862000Y0000426896D01* +X0000862199Y0000426896D01* +X0000862300Y0000426896D01* +X0000863700Y0000427096D01* +X0000865000Y0000427496D01* +X0000866299Y0000428096D01* +X0000867500Y0000428896D01* +X0000868600Y0000429796D01* +X0000869499Y0000430796D01* +X0000870299Y0000431996D01* +X0000870800Y0000432796D01* +X0000871199Y0000433696D01* +X0000871500Y0000434696D01* +X0000871699Y0000435596D01* +X0000871800Y0000436696D01* +X0000871800Y0000437296D01* +X0000871800Y0000438396D01* +X0000871600Y0000439396D01* +X0000871399Y0000440296D01* +X0000871100Y0000441196D01* +X0000870700Y0000441996D01* +X0000870599Y0000442096D01* +X0000870500Y0000442396D01* +X0000870200Y0000442896D01* +X0000869900Y0000443496D01* +X0000869400Y0000444196D01* +X0000868900Y0000445096D01* +X0000868300Y0000446196D01* +X0000867599Y0000447396D01* +X0000866799Y0000448796D01* +X0000865900Y0000450296D01* +X0000865000Y0000451996D01* +X0000864000Y0000453696D01* +X0000862900Y0000455696D01* +X0000861700Y0000457696D01* +X0000860400Y0000459896D01* +X0000859100Y0000462196D01* +X0000857700Y0000464596D01* +X0000856300Y0000467096D01* +X0000854700Y0000469696D01* +X0000853199Y0000472496D01* +X0000851499Y0000475296D01* +X0000849800Y0000478296D01* +X0000847999Y0000481296D01* +X0000846200Y0000484496D01* +X0000844399Y0000487696D01* +X0000842400Y0000491096D01* +X0000840500Y0000494496D01* +X0000838399Y0000497996D01* +X0000836400Y0000501596D01* +X0000834200Y0000505296D01* +X0000832100Y0000508996D01* +X0000829900Y0000512796D01* +X0000827700Y0000516696D01* +X0000825399Y0000520596D01* +X0000823100Y0000524696D01* +X0000820699Y0000528696D01* +X0000818299Y0000532796D01* +X0000815900Y0000536996D01* +X0000813500Y0000541196D01* +X0000811000Y0000545496D01* +X0000808600Y0000549796D01* +X0000806100Y0000554096D01* +X0000803499Y0000558496D01* +X0000801000Y0000562896D01* +X0000798399Y0000567396D01* +X0000795800Y0000571796D01* +X0000793199Y0000576196D01* +X0000790600Y0000580696D01* +X0000787999Y0000585296D01* +X0000785400Y0000589796D01* +X0000782799Y0000594296D01* +X0000780200Y0000598896D01* +X0000777500Y0000603496D01* +X0000774899Y0000607996D01* +X0000772300Y0000612596D01* +X0000769600Y0000617096D01* +X0000766999Y0000621696D01* +X0000764400Y0000626196D01* +X0000761799Y0000630696D01* +X0000759200Y0000635196D01* +X0000756599Y0000639696D01* +X0000754100Y0000644096D01* +X0000751499Y0000648496D01* +X0000748999Y0000652896D01* +X0000746499Y0000657296D01* +X0000744000Y0000661596D01* +X0000741500Y0000665896D01* +X0000739100Y0000670096D01* +X0000736699Y0000674296D01* +X0000734299Y0000678396D01* +X0000731900Y0000682496D01* +X0000729599Y0000686496D01* +X0000727300Y0000690396D01* +X0000725100Y0000694296D01* +X0000722900Y0000698096D01* +X0000720700Y0000701896D01* +X0000718600Y0000705596D01* +X0000716499Y0000709196D01* +X0000714500Y0000712696D01* +X0000712499Y0000716096D01* +X0000710499Y0000719496D01* +X0000708700Y0000722696D01* +X0000706800Y0000725896D01* +X0000705099Y0000728996D01* +X0000703300Y0000731896D01* +X0000701700Y0000734796D01* +X0000700100Y0000737596D01* +X0000698599Y0000740196D01* +X0000697100Y0000742796D01* +X0000695700Y0000745196D01* +X0000694300Y0000747496D01* +X0000693099Y0000749696D01* +X0000691900Y0000751796D01* +X0000690800Y0000753696D01* +X0000689700Y0000755496D01* +X0000688800Y0000757196D01* +X0000687899Y0000758696D01* +X0000687099Y0000760096D01* +X0000686400Y0000761296D01* +X0000685699Y0000762396D01* +X0000685199Y0000763396D01* +X0000684699Y0000764196D01* +X0000684300Y0000764796D01* +X0000684099Y0000765296D01* +X0000683900Y0000765596D01* +X0000683799Y0000765696D01* +X0000683799Y0000765696D01* +X0000682900Y0000766896D01* +X0000681899Y0000767896D01* +X0000680799Y0000768696D01* +X0000679600Y0000769496D01* +X0000678200Y0000769996D01* +X0000676900Y0000770396D01* +X0000676499Y0000770496D01* +X0000675899Y0000770496D01* +X0000675300Y0000770496D01* +X0000674700Y0000770496D01* +X0000673999Y0000770496D01* +X0000673499Y0000770496D01* +X0000673100Y0000770396D01* +X0000671700Y0000769996D01* +X0000670300Y0000769396D01* +X0000669099Y0000768696D01* +X0000667999Y0000767796D01* +X0000667000Y0000766796D01* +X0000666200Y0000765796D01* +X0000666099Y0000765596D01* +X0000666000Y0000765296D01* +X0000665700Y0000764896D01* +X0000665400Y0000764296D01* +X0000664899Y0000763496D01* +X0000664400Y0000762596D01* +X0000663800Y0000761496D01* +X0000663099Y0000760296D01* +X0000662299Y0000758896D01* +X0000661400Y0000757396D01* +X0000660399Y0000755796D01* +X0000659400Y0000753996D01* +X0000658300Y0000752096D01* +X0000657099Y0000749996D01* +X0000655899Y0000747896D01* +X0000654500Y0000745596D01* +X0000653100Y0000743196D01* +X0000651699Y0000740596D01* +X0000650200Y0000737996D01* +X0000648599Y0000735196D01* +X0000646900Y0000732396D01* +X0000645200Y0000729396D01* +X0000643400Y0000726396D01* +X0000641599Y0000723196D01* +X0000639699Y0000719996D01* +X0000637799Y0000716596D01* +X0000635799Y0000713196D01* +X0000633800Y0000709696D01* +X0000631699Y0000706096D01* +X0000629599Y0000702396D01* +X0000627500Y0000698696D01* +X0000625300Y0000694896D01* +X0000622999Y0000690996D01* +X0000620700Y0000687096D01* +X0000618399Y0000683096D01* +X0000616100Y0000678996D01* +X0000613699Y0000674896D01* +X0000611299Y0000670696D01* +X0000608899Y0000666496D01* +X0000606399Y0000662196D01* +X0000603899Y0000657896D01* +X0000601400Y0000653596D01* +X0000598900Y0000649196D01* +X0000596299Y0000644796D01* +X0000593799Y0000640296D01* +X0000591200Y0000635896D01* +X0000588599Y0000631396D01* +X0000586000Y0000626896D01* +X0000583400Y0000622296D01* +X0000580799Y0000617796D01* +X0000578100Y0000613296D01* +X0000575500Y0000608696D01* +X0000572899Y0000604096D01* +X0000570200Y0000599596D01* +X0000567599Y0000594996D01* +X0000565000Y0000590496D01* +X0000562400Y0000585896D01* +X0000559699Y0000581396D01* +X0000557100Y0000576896D01* +X0000554500Y0000572496D01* +X0000552000Y0000567996D01* +X0000549399Y0000563596D01* +X0000546899Y0000559196D01* +X0000544300Y0000554796D01* +X0000541799Y0000550396D01* +X0000539299Y0000546096D01* +X0000536899Y0000541796D01* +X0000534399Y0000537596D01* +X0000531999Y0000533396D01* +X0000529600Y0000529296D01* +X0000527299Y0000525196D01* +X0000525000Y0000521196D01* +X0000522699Y0000517296D01* +X0000520400Y0000513396D01* +X0000518200Y0000509596D01* +X0000516099Y0000505796D01* +X0000513899Y0000502096D01* +X0000511900Y0000498496D01* +X0000509799Y0000494996D01* +X0000507899Y0000491596D01* +X0000505900Y0000488196D01* +X0000504000Y0000484996D01* +X0000502199Y0000481796D01* +X0000500400Y0000478696D01* +X0000498699Y0000475796D01* +X0000497099Y0000472896D01* +X0000495500Y0000470096D01* +X0000493999Y0000467496D01* +X0000492500Y0000464896D01* +X0000491099Y0000462496D01* +X0000489800Y0000460196D01* +X0000488500Y0000457996D01* +X0000487300Y0000455996D01* +X0000486200Y0000453996D01* +X0000485200Y0000452196D01* +X0000484199Y0000450596D01* +X0000483300Y0000448996D01* +X0000482500Y0000447596D01* +X0000481799Y0000446396D01* +X0000481199Y0000445296D01* +X0000480600Y0000444396D01* +X0000480200Y0000443596D01* +X0000479799Y0000442896D01* +X0000479500Y0000442496D01* +X0000479400Y0000442096D01* +X0000479299Y0000441996D01* +X0000479299Y0000441996D01* +X0000478799Y0000440996D01* +X0000478499Y0000440096D01* +X0000478300Y0000439196D01* +X0000478199Y0000438196D01* +X0000478199Y0000437296D01* +X0000478199Y0000437296D01* +G36* +X0000652000Y0000511896D02* +X0000652100Y0000511796D01* +X0000652400Y0000511796D01* +X0000652899Y0000511696D01* +X0000653499Y0000511596D01* +X0000654300Y0000511396D01* +X0000655199Y0000511296D01* +X0000656200Y0000511096D01* +X0000657399Y0000510896D01* +X0000658699Y0000510696D01* +X0000660099Y0000510396D01* +X0000661600Y0000510096D01* +X0000663200Y0000509896D01* +X0000664899Y0000509596D01* +X0000666599Y0000509296D01* +X0000668400Y0000508896D01* +X0000669200Y0000508796D01* +X0000686200Y0000505796D01* +X0000697699Y0000497796D01* +X0000699000Y0000496796D01* +X0000700300Y0000495896D01* +X0000701599Y0000495096D01* +X0000702800Y0000494196D01* +X0000703900Y0000493496D01* +X0000704900Y0000492796D01* +X0000705800Y0000492096D01* +X0000706699Y0000491496D01* +X0000707400Y0000490996D01* +X0000708000Y0000490596D01* +X0000708500Y0000490196D01* +X0000708899Y0000489996D01* +X0000709100Y0000489796D01* +X0000709100Y0000489796D01* +X0000709000Y0000489796D01* +X0000708700Y0000489696D01* +X0000708300Y0000489596D01* +X0000707700Y0000489496D01* +X0000706900Y0000489296D01* +X0000706000Y0000489096D01* +X0000705000Y0000488896D01* +X0000703800Y0000488696D01* +X0000702500Y0000488396D01* +X0000701199Y0000488196D01* +X0000699700Y0000487896D01* +X0000698199Y0000487496D01* +X0000696599Y0000487196D01* +X0000694900Y0000486896D01* +X0000694300Y0000486696D01* +X0000679400Y0000483696D01* +X0000665900Y0000474096D01* +X0000663899Y0000472596D01* +X0000662100Y0000471296D01* +X0000660399Y0000470096D01* +X0000658899Y0000469096D01* +X0000657500Y0000468096D01* +X0000656299Y0000467196D01* +X0000655300Y0000466496D01* +X0000654399Y0000465896D01* +X0000653700Y0000465296D01* +X0000653100Y0000464896D01* +X0000652699Y0000464596D01* +X0000652400Y0000464396D01* +X0000652300Y0000464296D01* +X0000652300Y0000464296D01* +X0000652400Y0000464196D01* +X0000652600Y0000463896D01* +X0000652799Y0000463596D01* +X0000653200Y0000463096D01* +X0000653599Y0000462496D01* +X0000654000Y0000461796D01* +X0000654500Y0000461096D01* +X0000655000Y0000460396D01* +X0000655600Y0000459596D01* +X0000656200Y0000458796D01* +X0000656700Y0000457996D01* +X0000657300Y0000457296D01* +X0000657800Y0000456496D01* +X0000658300Y0000455896D01* +X0000658699Y0000455296D01* +X0000659100Y0000454796D01* +X0000659299Y0000454396D01* +X0000659599Y0000454096D01* +X0000659700Y0000453896D01* +X0000659700Y0000453896D01* +X0000659799Y0000453996D01* +X0000660000Y0000454096D01* +X0000660399Y0000454396D01* +X0000660899Y0000454696D01* +X0000661499Y0000455096D01* +X0000662200Y0000455596D01* +X0000663000Y0000456096D01* +X0000663800Y0000456596D01* +X0000664699Y0000457196D01* +X0000665100Y0000457496D01* +X0000666000Y0000458096D01* +X0000667000Y0000458796D01* +X0000668200Y0000459596D01* +X0000669399Y0000460396D01* +X0000670800Y0000461296D01* +X0000672099Y0000462196D01* +X0000673499Y0000463096D01* +X0000674900Y0000464096D01* +X0000676199Y0000464996D01* +X0000677499Y0000465896D01* +X0000678300Y0000466396D01* +X0000686200Y0000471696D01* +X0000707900Y0000474296D01* +X0000709900Y0000474496D01* +X0000711899Y0000474796D01* +X0000713900Y0000474996D01* +X0000715699Y0000475196D01* +X0000717599Y0000475396D01* +X0000719299Y0000475596D01* +X0000720899Y0000475796D01* +X0000722499Y0000475996D01* +X0000723899Y0000476196D01* +X0000725200Y0000476396D01* +X0000726399Y0000476496D01* +X0000727399Y0000476596D01* +X0000728299Y0000476696D01* +X0000729000Y0000476796D01* +X0000729599Y0000476896D01* +X0000730000Y0000476996D01* +X0000730199Y0000476996D01* +X0000730199Y0000476996D01* +X0000731399Y0000477296D01* +X0000732700Y0000477696D01* +X0000734100Y0000478196D01* +X0000735599Y0000478796D01* +X0000737100Y0000479496D01* +X0000738599Y0000480196D01* +X0000740100Y0000480996D01* +X0000741599Y0000481796D01* +X0000742999Y0000482596D01* +X0000744300Y0000483496D01* +X0000745300Y0000484296D01* +X0000745399Y0000484396D01* +X0000745499Y0000484396D01* +X0000745600Y0000484496D01* +X0000745800Y0000484696D01* +X0000745900Y0000484796D01* +X0000746100Y0000484996D01* +X0000746299Y0000485196D01* +X0000746499Y0000485396D01* +X0000746799Y0000485696D01* +X0000747099Y0000485996D01* +X0000747399Y0000486396D01* +X0000747800Y0000486896D01* +X0000748300Y0000487396D01* +X0000748800Y0000487996D01* +X0000749299Y0000488596D01* +X0000750000Y0000489396D01* +X0000750700Y0000490196D01* +X0000751399Y0000491096D01* +X0000752299Y0000492096D01* +X0000753200Y0000493296D01* +X0000754300Y0000494496D01* +X0000755400Y0000495796D01* +X0000756599Y0000497296D01* +X0000757999Y0000498796D01* +X0000759399Y0000500496D01* +X0000760900Y0000502296D01* +X0000762599Y0000504296D01* +X0000764400Y0000506396D01* +X0000765500Y0000507696D01* +X0000766100Y0000508496D01* +X0000766800Y0000509196D01* +X0000767299Y0000509896D01* +X0000767799Y0000510496D01* +X0000768299Y0000510996D01* +X0000768700Y0000511496D01* +X0000768899Y0000511796D01* +X0000769100Y0000511896D01* +X0000769100Y0000511996D01* +X0000769199Y0000511896D01* +X0000769399Y0000511696D01* +X0000769800Y0000511396D01* +X0000770200Y0000510996D01* +X0000770799Y0000510496D01* +X0000771500Y0000509996D01* +X0000772199Y0000509296D01* +X0000772999Y0000508696D01* +X0000773799Y0000507896D01* +X0000774599Y0000507196D01* +X0000780099Y0000502396D01* +X0000780099Y0000490096D01* +X0000780099Y0000477796D01* +X0000783700Y0000477896D01* +X0000784699Y0000477896D01* +X0000785700Y0000477896D01* +X0000786800Y0000477896D01* +X0000787800Y0000477896D01* +X0000788700Y0000477996D01* +X0000789599Y0000477996D01* +X0000789899Y0000477996D01* +X0000792500Y0000478096D01* +X0000792500Y0000493396D01* +X0000792500Y0000508696D01* +X0000782900Y0000518396D01* +X0000773400Y0000528096D01* +X0000767900Y0000528096D01* +X0000762399Y0000528096D01* +X0000761100Y0000527296D01* +X0000759800Y0000526696D01* +X0000758599Y0000526196D01* +X0000757399Y0000525796D01* +X0000756299Y0000525496D01* +X0000755200Y0000525396D01* +X0000754100Y0000525496D01* +X0000752900Y0000525696D01* +X0000751699Y0000525996D01* +X0000750399Y0000526496D01* +X0000748999Y0000527096D01* +X0000748199Y0000527496D01* +X0000747099Y0000528096D01* +X0000736100Y0000528096D01* +X0000725200Y0000528096D01* +X0000715399Y0000535796D01* +X0000714000Y0000536996D01* +X0000712699Y0000537996D01* +X0000711599Y0000538896D01* +X0000710599Y0000539696D01* +X0000709699Y0000540396D01* +X0000708899Y0000540996D01* +X0000708200Y0000541496D01* +X0000707599Y0000541996D01* +X0000707199Y0000542296D01* +X0000706800Y0000542596D01* +X0000706399Y0000542896D01* +X0000706199Y0000543096D01* +X0000706000Y0000543196D01* +X0000705800Y0000543296D01* +X0000705700Y0000543396D01* +X0000705599Y0000543396D01* +X0000705599Y0000543396D01* +X0000705500Y0000543396D01* +X0000705500Y0000543396D01* +X0000705399Y0000543296D01* +X0000705200Y0000542996D01* +X0000704900Y0000542596D01* +X0000704499Y0000542096D01* +X0000703999Y0000541596D01* +X0000703499Y0000540896D01* +X0000702899Y0000540196D01* +X0000702300Y0000539396D01* +X0000701799Y0000538796D01* +X0000701199Y0000537996D01* +X0000700600Y0000537196D01* +X0000700000Y0000536496D01* +X0000699500Y0000535896D01* +X0000699099Y0000535396D01* +X0000698700Y0000534896D01* +X0000698499Y0000534596D01* +X0000698299Y0000534396D01* +X0000698299Y0000534396D01* +X0000698299Y0000534296D01* +X0000698599Y0000534096D01* +X0000698900Y0000533796D01* +X0000699399Y0000533396D01* +X0000700000Y0000532896D01* +X0000700699Y0000532296D01* +X0000701499Y0000531596D01* +X0000702399Y0000530896D01* +X0000703399Y0000529996D01* +X0000704400Y0000529196D01* +X0000705599Y0000528196D01* +X0000706800Y0000527196D01* +X0000708000Y0000526196D01* +X0000709300Y0000525096D01* +X0000709600Y0000524896D01* +X0000720899Y0000515496D01* +X0000724900Y0000515396D01* +X0000726000Y0000515396D01* +X0000727199Y0000515396D01* +X0000728499Y0000515396D01* +X0000729800Y0000515296D01* +X0000730999Y0000515296D01* +X0000732200Y0000515296D01* +X0000732700Y0000515296D01* +X0000736600Y0000515196D01* +X0000729200Y0000507596D01* +X0000727900Y0000506196D01* +X0000726599Y0000504896D01* +X0000725599Y0000503796D01* +X0000724600Y0000502796D01* +X0000723800Y0000501996D01* +X0000723099Y0000501296D01* +X0000722599Y0000500696D01* +X0000722100Y0000500296D01* +X0000721900Y0000500096D01* +X0000721699Y0000499996D01* +X0000721699Y0000499996D01* +X0000721600Y0000499996D01* +X0000721300Y0000500196D01* +X0000720899Y0000500396D01* +X0000720399Y0000500796D01* +X0000719700Y0000501196D01* +X0000718900Y0000501696D01* +X0000718000Y0000502296D01* +X0000717000Y0000502896D01* +X0000715900Y0000503596D01* +X0000714700Y0000504396D01* +X0000713400Y0000505196D01* +X0000712000Y0000505996D01* +X0000710599Y0000506896D01* +X0000709100Y0000507796D01* +X0000707599Y0000508796D01* +X0000707400Y0000508896D01* +X0000693099Y0000517796D01* +X0000673499Y0000521696D01* +X0000671599Y0000522096D01* +X0000669699Y0000522396D01* +X0000667899Y0000522796D01* +X0000666099Y0000523096D01* +X0000664400Y0000523496D01* +X0000662900Y0000523796D01* +X0000661400Y0000524096D01* +X0000660000Y0000524296D01* +X0000658699Y0000524596D01* +X0000657599Y0000524796D01* +X0000656499Y0000524996D01* +X0000655699Y0000525196D01* +X0000655000Y0000525296D01* +X0000654399Y0000525396D01* +X0000654000Y0000525496D01* +X0000653799Y0000525496D01* +X0000653799Y0000525496D01* +X0000653700Y0000525396D01* +X0000653700Y0000525096D01* +X0000653599Y0000524696D01* +X0000653599Y0000524096D01* +X0000653499Y0000523396D01* +X0000653400Y0000522596D01* +X0000653299Y0000521796D01* +X0000653100Y0000520796D01* +X0000652999Y0000519896D01* +X0000652899Y0000518896D01* +X0000652799Y0000517896D01* +X0000652600Y0000516896D01* +X0000652499Y0000515896D01* +X0000652400Y0000514996D01* +X0000652300Y0000514196D01* +X0000652199Y0000513496D01* +X0000652100Y0000512896D01* +X0000652100Y0000512396D01* +X0000652100Y0000511996D01* +X0000652000Y0000511896D01* +X0000652000Y0000511896D01* +X0000652000Y0000511896D01* +X0000652000Y0000511896D01* +G37* +X0000652000Y0000511896D02* +X0000652100Y0000511796D01* +X0000652400Y0000511796D01* +X0000652899Y0000511696D01* +X0000653499Y0000511596D01* +X0000654300Y0000511396D01* +X0000655199Y0000511296D01* +X0000656200Y0000511096D01* +X0000657399Y0000510896D01* +X0000658699Y0000510696D01* +X0000660099Y0000510396D01* +X0000661600Y0000510096D01* +X0000663200Y0000509896D01* +X0000664899Y0000509596D01* +X0000666599Y0000509296D01* +X0000668400Y0000508896D01* +X0000669200Y0000508796D01* +X0000686200Y0000505796D01* +X0000697699Y0000497796D01* +X0000699000Y0000496796D01* +X0000700300Y0000495896D01* +X0000701599Y0000495096D01* +X0000702800Y0000494196D01* +X0000703900Y0000493496D01* +X0000704900Y0000492796D01* +X0000705800Y0000492096D01* +X0000706699Y0000491496D01* +X0000707400Y0000490996D01* +X0000708000Y0000490596D01* +X0000708500Y0000490196D01* +X0000708899Y0000489996D01* +X0000709100Y0000489796D01* +X0000709100Y0000489796D01* +X0000709000Y0000489796D01* +X0000708700Y0000489696D01* +X0000708300Y0000489596D01* +X0000707700Y0000489496D01* +X0000706900Y0000489296D01* +X0000706000Y0000489096D01* +X0000705000Y0000488896D01* +X0000703800Y0000488696D01* +X0000702500Y0000488396D01* +X0000701199Y0000488196D01* +X0000699700Y0000487896D01* +X0000698199Y0000487496D01* +X0000696599Y0000487196D01* +X0000694900Y0000486896D01* +X0000694300Y0000486696D01* +X0000679400Y0000483696D01* +X0000665900Y0000474096D01* +X0000663899Y0000472596D01* +X0000662100Y0000471296D01* +X0000660399Y0000470096D01* +X0000658899Y0000469096D01* +X0000657500Y0000468096D01* +X0000656299Y0000467196D01* +X0000655300Y0000466496D01* +X0000654399Y0000465896D01* +X0000653700Y0000465296D01* +X0000653100Y0000464896D01* +X0000652699Y0000464596D01* +X0000652400Y0000464396D01* +X0000652300Y0000464296D01* +X0000652300Y0000464296D01* +X0000652400Y0000464196D01* +X0000652600Y0000463896D01* +X0000652799Y0000463596D01* +X0000653200Y0000463096D01* +X0000653599Y0000462496D01* +X0000654000Y0000461796D01* +X0000654500Y0000461096D01* +X0000655000Y0000460396D01* +X0000655600Y0000459596D01* +X0000656200Y0000458796D01* +X0000656700Y0000457996D01* +X0000657300Y0000457296D01* +X0000657800Y0000456496D01* +X0000658300Y0000455896D01* +X0000658699Y0000455296D01* +X0000659100Y0000454796D01* +X0000659299Y0000454396D01* +X0000659599Y0000454096D01* +X0000659700Y0000453896D01* +X0000659700Y0000453896D01* +X0000659799Y0000453996D01* +X0000660000Y0000454096D01* +X0000660399Y0000454396D01* +X0000660899Y0000454696D01* +X0000661499Y0000455096D01* +X0000662200Y0000455596D01* +X0000663000Y0000456096D01* +X0000663800Y0000456596D01* +X0000664699Y0000457196D01* +X0000665100Y0000457496D01* +X0000666000Y0000458096D01* +X0000667000Y0000458796D01* +X0000668200Y0000459596D01* +X0000669399Y0000460396D01* +X0000670800Y0000461296D01* +X0000672099Y0000462196D01* +X0000673499Y0000463096D01* +X0000674900Y0000464096D01* +X0000676199Y0000464996D01* +X0000677499Y0000465896D01* +X0000678300Y0000466396D01* +X0000686200Y0000471696D01* +X0000707900Y0000474296D01* +X0000709900Y0000474496D01* +X0000711899Y0000474796D01* +X0000713900Y0000474996D01* +X0000715699Y0000475196D01* +X0000717599Y0000475396D01* +X0000719299Y0000475596D01* +X0000720899Y0000475796D01* +X0000722499Y0000475996D01* +X0000723899Y0000476196D01* +X0000725200Y0000476396D01* +X0000726399Y0000476496D01* +X0000727399Y0000476596D01* +X0000728299Y0000476696D01* +X0000729000Y0000476796D01* +X0000729599Y0000476896D01* +X0000730000Y0000476996D01* +X0000730199Y0000476996D01* +X0000730199Y0000476996D01* +X0000731399Y0000477296D01* +X0000732700Y0000477696D01* +X0000734100Y0000478196D01* +X0000735599Y0000478796D01* +X0000737100Y0000479496D01* +X0000738599Y0000480196D01* +X0000740100Y0000480996D01* +X0000741599Y0000481796D01* +X0000742999Y0000482596D01* +X0000744300Y0000483496D01* +X0000745300Y0000484296D01* +X0000745399Y0000484396D01* +X0000745499Y0000484396D01* +X0000745600Y0000484496D01* +X0000745800Y0000484696D01* +X0000745900Y0000484796D01* +X0000746100Y0000484996D01* +X0000746299Y0000485196D01* +X0000746499Y0000485396D01* +X0000746799Y0000485696D01* +X0000747099Y0000485996D01* +X0000747399Y0000486396D01* +X0000747800Y0000486896D01* +X0000748300Y0000487396D01* +X0000748800Y0000487996D01* +X0000749299Y0000488596D01* +X0000750000Y0000489396D01* +X0000750700Y0000490196D01* +X0000751399Y0000491096D01* +X0000752299Y0000492096D01* +X0000753200Y0000493296D01* +X0000754300Y0000494496D01* +X0000755400Y0000495796D01* +X0000756599Y0000497296D01* +X0000757999Y0000498796D01* +X0000759399Y0000500496D01* +X0000760900Y0000502296D01* +X0000762599Y0000504296D01* +X0000764400Y0000506396D01* +X0000765500Y0000507696D01* +X0000766100Y0000508496D01* +X0000766800Y0000509196D01* +X0000767299Y0000509896D01* +X0000767799Y0000510496D01* +X0000768299Y0000510996D01* +X0000768700Y0000511496D01* +X0000768899Y0000511796D01* +X0000769100Y0000511896D01* +X0000769100Y0000511996D01* +X0000769199Y0000511896D01* +X0000769399Y0000511696D01* +X0000769800Y0000511396D01* +X0000770200Y0000510996D01* +X0000770799Y0000510496D01* +X0000771500Y0000509996D01* +X0000772199Y0000509296D01* +X0000772999Y0000508696D01* +X0000773799Y0000507896D01* +X0000774599Y0000507196D01* +X0000780099Y0000502396D01* +X0000780099Y0000490096D01* +X0000780099Y0000477796D01* +X0000783700Y0000477896D01* +X0000784699Y0000477896D01* +X0000785700Y0000477896D01* +X0000786800Y0000477896D01* +X0000787800Y0000477896D01* +X0000788700Y0000477996D01* +X0000789599Y0000477996D01* +X0000789899Y0000477996D01* +X0000792500Y0000478096D01* +X0000792500Y0000493396D01* +X0000792500Y0000508696D01* +X0000782900Y0000518396D01* +X0000773400Y0000528096D01* +X0000767900Y0000528096D01* +X0000762399Y0000528096D01* +X0000761100Y0000527296D01* +X0000759800Y0000526696D01* +X0000758599Y0000526196D01* +X0000757399Y0000525796D01* +X0000756299Y0000525496D01* +X0000755200Y0000525396D01* +X0000754100Y0000525496D01* +X0000752900Y0000525696D01* +X0000751699Y0000525996D01* +X0000750399Y0000526496D01* +X0000748999Y0000527096D01* +X0000748199Y0000527496D01* +X0000747099Y0000528096D01* +X0000736100Y0000528096D01* +X0000725200Y0000528096D01* +X0000715399Y0000535796D01* +X0000714000Y0000536996D01* +X0000712699Y0000537996D01* +X0000711599Y0000538896D01* +X0000710599Y0000539696D01* +X0000709699Y0000540396D01* +X0000708899Y0000540996D01* +X0000708200Y0000541496D01* +X0000707599Y0000541996D01* +X0000707199Y0000542296D01* +X0000706800Y0000542596D01* +X0000706399Y0000542896D01* +X0000706199Y0000543096D01* +X0000706000Y0000543196D01* +X0000705800Y0000543296D01* +X0000705700Y0000543396D01* +X0000705599Y0000543396D01* +X0000705599Y0000543396D01* +X0000705500Y0000543396D01* +X0000705500Y0000543396D01* +X0000705399Y0000543296D01* +X0000705200Y0000542996D01* +X0000704900Y0000542596D01* +X0000704499Y0000542096D01* +X0000703999Y0000541596D01* +X0000703499Y0000540896D01* +X0000702899Y0000540196D01* +X0000702300Y0000539396D01* +X0000701799Y0000538796D01* +X0000701199Y0000537996D01* +X0000700600Y0000537196D01* +X0000700000Y0000536496D01* +X0000699500Y0000535896D01* +X0000699099Y0000535396D01* +X0000698700Y0000534896D01* +X0000698499Y0000534596D01* +X0000698299Y0000534396D01* +X0000698299Y0000534396D01* +X0000698299Y0000534296D01* +X0000698599Y0000534096D01* +X0000698900Y0000533796D01* +X0000699399Y0000533396D01* +X0000700000Y0000532896D01* +X0000700699Y0000532296D01* +X0000701499Y0000531596D01* +X0000702399Y0000530896D01* +X0000703399Y0000529996D01* +X0000704400Y0000529196D01* +X0000705599Y0000528196D01* +X0000706800Y0000527196D01* +X0000708000Y0000526196D01* +X0000709300Y0000525096D01* +X0000709600Y0000524896D01* +X0000720899Y0000515496D01* +X0000724900Y0000515396D01* +X0000726000Y0000515396D01* +X0000727199Y0000515396D01* +X0000728499Y0000515396D01* +X0000729800Y0000515296D01* +X0000730999Y0000515296D01* +X0000732200Y0000515296D01* +X0000732700Y0000515296D01* +X0000736600Y0000515196D01* +X0000729200Y0000507596D01* +X0000727900Y0000506196D01* +X0000726599Y0000504896D01* +X0000725599Y0000503796D01* +X0000724600Y0000502796D01* +X0000723800Y0000501996D01* +X0000723099Y0000501296D01* +X0000722599Y0000500696D01* +X0000722100Y0000500296D01* +X0000721900Y0000500096D01* +X0000721699Y0000499996D01* +X0000721699Y0000499996D01* +X0000721600Y0000499996D01* +X0000721300Y0000500196D01* +X0000720899Y0000500396D01* +X0000720399Y0000500796D01* +X0000719700Y0000501196D01* +X0000718900Y0000501696D01* +X0000718000Y0000502296D01* +X0000717000Y0000502896D01* +X0000715900Y0000503596D01* +X0000714700Y0000504396D01* +X0000713400Y0000505196D01* +X0000712000Y0000505996D01* +X0000710599Y0000506896D01* +X0000709100Y0000507796D01* +X0000707599Y0000508796D01* +X0000707400Y0000508896D01* +X0000693099Y0000517796D01* +X0000673499Y0000521696D01* +X0000671599Y0000522096D01* +X0000669699Y0000522396D01* +X0000667899Y0000522796D01* +X0000666099Y0000523096D01* +X0000664400Y0000523496D01* +X0000662900Y0000523796D01* +X0000661400Y0000524096D01* +X0000660000Y0000524296D01* +X0000658699Y0000524596D01* +X0000657599Y0000524796D01* +X0000656499Y0000524996D01* +X0000655699Y0000525196D01* +X0000655000Y0000525296D01* +X0000654399Y0000525396D01* +X0000654000Y0000525496D01* +X0000653799Y0000525496D01* +X0000653799Y0000525496D01* +X0000653700Y0000525396D01* +X0000653700Y0000525096D01* +X0000653599Y0000524696D01* +X0000653599Y0000524096D01* +X0000653499Y0000523396D01* +X0000653400Y0000522596D01* +X0000653299Y0000521796D01* +X0000653100Y0000520796D01* +X0000652999Y0000519896D01* +X0000652899Y0000518896D01* +X0000652799Y0000517896D01* +X0000652600Y0000516896D01* +X0000652499Y0000515896D01* +X0000652400Y0000514996D01* +X0000652300Y0000514196D01* +X0000652199Y0000513496D01* +X0000652100Y0000512896D01* +X0000652100Y0000512396D01* +X0000652100Y0000511996D01* +X0000652000Y0000511896D01* +X0000652000Y0000511896D01* +X0000652000Y0000511896D01* +G36* +X0000541499Y0000491396D02* +X0000541499Y0000491096D01* +X0000541799Y0000490896D01* +X0000542100Y0000490696D01* +X0000542400Y0000490496D01* +X0000542899Y0000490396D01* +X0000543300Y0000490296D01* +X0000543999Y0000490196D01* +X0000544499Y0000490096D01* +X0000546300Y0000490096D01* +X0000547600Y0000490096D01* +X0000548400Y0000490196D01* +X0000549300Y0000490296D01* +X0000550100Y0000490496D01* +X0000550900Y0000490696D01* +X0000551599Y0000490996D01* +X0000552000Y0000491196D01* +X0000552500Y0000491496D01* +X0000552899Y0000491796D01* +X0000553199Y0000492296D01* +X0000553300Y0000492796D01* +X0000553300Y0000493296D01* +X0000553300Y0000493696D01* +X0000553300Y0000494296D01* +X0000553300Y0000494896D01* +X0000553300Y0000494996D01* +X0000553300Y0000495396D01* +X0000553300Y0000495696D01* +X0000553199Y0000495896D01* +X0000553199Y0000495996D01* +X0000553100Y0000495996D01* +X0000552800Y0000495996D01* +X0000552300Y0000495896D01* +X0000551700Y0000495896D01* +X0000551700Y0000495896D01* +X0000550999Y0000495796D01* +X0000550400Y0000495696D01* +X0000549899Y0000495696D01* +X0000549699Y0000495696D01* +X0000549200Y0000495796D01* +X0000548899Y0000495796D01* +X0000548500Y0000495696D01* +X0000548200Y0000495596D01* +X0000547699Y0000495496D01* +X0000547199Y0000495296D01* +X0000546600Y0000494996D01* +X0000546000Y0000494796D01* +X0000545400Y0000494496D01* +X0000544699Y0000494196D01* +X0000544100Y0000493896D01* +X0000543500Y0000493596D01* +X0000543000Y0000493296D01* +X0000542599Y0000493096D01* +X0000542299Y0000492996D01* +X0000542200Y0000492896D01* +X0000542100Y0000492696D01* +X0000541900Y0000492496D01* +X0000541799Y0000492196D01* +X0000541600Y0000491696D01* +X0000541499Y0000491396D01* +X0000541499Y0000491396D01* +X0000541499Y0000491396D01* +G37* +X0000541499Y0000491396D02* +X0000541499Y0000491096D01* +X0000541799Y0000490896D01* +X0000542100Y0000490696D01* +X0000542400Y0000490496D01* +X0000542899Y0000490396D01* +X0000543300Y0000490296D01* +X0000543999Y0000490196D01* +X0000544499Y0000490096D01* +X0000546300Y0000490096D01* +X0000547600Y0000490096D01* +X0000548400Y0000490196D01* +X0000549300Y0000490296D01* +X0000550100Y0000490496D01* +X0000550900Y0000490696D01* +X0000551599Y0000490996D01* +X0000552000Y0000491196D01* +X0000552500Y0000491496D01* +X0000552899Y0000491796D01* +X0000553199Y0000492296D01* +X0000553300Y0000492796D01* +X0000553300Y0000493296D01* +X0000553300Y0000493696D01* +X0000553300Y0000494296D01* +X0000553300Y0000494896D01* +X0000553300Y0000494996D01* +X0000553300Y0000495396D01* +X0000553300Y0000495696D01* +X0000553199Y0000495896D01* +X0000553199Y0000495996D01* +X0000553100Y0000495996D01* +X0000552800Y0000495996D01* +X0000552300Y0000495896D01* +X0000551700Y0000495896D01* +X0000551700Y0000495896D01* +X0000550999Y0000495796D01* +X0000550400Y0000495696D01* +X0000549899Y0000495696D01* +X0000549699Y0000495696D01* +X0000549200Y0000495796D01* +X0000548899Y0000495796D01* +X0000548500Y0000495696D01* +X0000548200Y0000495596D01* +X0000547699Y0000495496D01* +X0000547199Y0000495296D01* +X0000546600Y0000494996D01* +X0000546000Y0000494796D01* +X0000545400Y0000494496D01* +X0000544699Y0000494196D01* +X0000544100Y0000493896D01* +X0000543500Y0000493596D01* +X0000543000Y0000493296D01* +X0000542599Y0000493096D01* +X0000542299Y0000492996D01* +X0000542200Y0000492896D01* +X0000542100Y0000492696D01* +X0000541900Y0000492496D01* +X0000541799Y0000492196D01* +X0000541600Y0000491696D01* +X0000541499Y0000491396D01* +X0000541499Y0000491396D01* +G36* +X0000742100Y0000540596D02* +X0000742199Y0000539096D01* +X0000742499Y0000537596D01* +X0000742900Y0000536196D01* +X0000743499Y0000534796D01* +X0000744300Y0000533496D01* +X0000745199Y0000532296D01* +X0000745900Y0000531596D01* +X0000747099Y0000530496D01* +X0000748300Y0000529596D01* +X0000749700Y0000528896D01* +X0000751100Y0000528396D01* +X0000752100Y0000528096D01* +X0000752499Y0000527996D01* +X0000753000Y0000527996D01* +X0000753700Y0000527896D01* +X0000754399Y0000527896D01* +X0000754999Y0000527896D01* +X0000755700Y0000527896D01* +X0000756200Y0000527896D01* +X0000756599Y0000527996D01* +X0000756599Y0000527996D01* +X0000757900Y0000528296D01* +X0000759000Y0000528596D01* +X0000760100Y0000529096D01* +X0000760900Y0000529496D01* +X0000762200Y0000530396D01* +X0000763399Y0000531396D01* +X0000764400Y0000532496D01* +X0000765299Y0000533796D01* +X0000766100Y0000535196D01* +X0000766699Y0000536696D01* +X0000766999Y0000537996D01* +X0000767100Y0000538596D01* +X0000767200Y0000539296D01* +X0000767200Y0000540096D01* +X0000767200Y0000540996D01* +X0000767200Y0000541796D01* +X0000767100Y0000542496D01* +X0000766999Y0000543096D01* +X0000766999Y0000543196D01* +X0000766600Y0000544696D01* +X0000766000Y0000546196D01* +X0000765299Y0000547496D01* +X0000764400Y0000548796D01* +X0000763399Y0000549896D01* +X0000762200Y0000550896D01* +X0000762099Y0000550996D01* +X0000760999Y0000551696D01* +X0000759700Y0000552296D01* +X0000758400Y0000552796D01* +X0000757100Y0000553196D01* +X0000756899Y0000553196D01* +X0000756099Y0000553296D01* +X0000755299Y0000553396D01* +X0000754399Y0000553396D01* +X0000753599Y0000553396D01* +X0000752799Y0000553296D01* +X0000752600Y0000553196D01* +X0000751100Y0000552896D01* +X0000749599Y0000552296D01* +X0000748300Y0000551596D01* +X0000747000Y0000550796D01* +X0000745900Y0000549696D01* +X0000744800Y0000548596D01* +X0000744000Y0000547296D01* +X0000743499Y0000546496D01* +X0000742900Y0000545096D01* +X0000742499Y0000543596D01* +X0000742199Y0000542096D01* +X0000742100Y0000540596D01* +X0000742100Y0000540596D01* +X0000742100Y0000540596D01* +G37* +X0000742100Y0000540596D02* +X0000742199Y0000539096D01* +X0000742499Y0000537596D01* +X0000742900Y0000536196D01* +X0000743499Y0000534796D01* +X0000744300Y0000533496D01* +X0000745199Y0000532296D01* +X0000745900Y0000531596D01* +X0000747099Y0000530496D01* +X0000748300Y0000529596D01* +X0000749700Y0000528896D01* +X0000751100Y0000528396D01* +X0000752100Y0000528096D01* +X0000752499Y0000527996D01* +X0000753000Y0000527996D01* +X0000753700Y0000527896D01* +X0000754399Y0000527896D01* +X0000754999Y0000527896D01* +X0000755700Y0000527896D01* +X0000756200Y0000527896D01* +X0000756599Y0000527996D01* +X0000756599Y0000527996D01* +X0000757900Y0000528296D01* +X0000759000Y0000528596D01* +X0000760100Y0000529096D01* +X0000760900Y0000529496D01* +X0000762200Y0000530396D01* +X0000763399Y0000531396D01* +X0000764400Y0000532496D01* +X0000765299Y0000533796D01* +X0000766100Y0000535196D01* +X0000766699Y0000536696D01* +X0000766999Y0000537996D01* +X0000767100Y0000538596D01* +X0000767200Y0000539296D01* +X0000767200Y0000540096D01* +X0000767200Y0000540996D01* +X0000767200Y0000541796D01* +X0000767100Y0000542496D01* +X0000766999Y0000543096D01* +X0000766999Y0000543196D01* +X0000766600Y0000544696D01* +X0000766000Y0000546196D01* +X0000765299Y0000547496D01* +X0000764400Y0000548796D01* +X0000763399Y0000549896D01* +X0000762200Y0000550896D01* +X0000762099Y0000550996D01* +X0000760999Y0000551696D01* +X0000759700Y0000552296D01* +X0000758400Y0000552796D01* +X0000757100Y0000553196D01* +X0000756899Y0000553196D01* +X0000756099Y0000553296D01* +X0000755299Y0000553396D01* +X0000754399Y0000553396D01* +X0000753599Y0000553396D01* +X0000752799Y0000553296D01* +X0000752600Y0000553196D01* +X0000751100Y0000552896D01* +X0000749599Y0000552296D01* +X0000748300Y0000551596D01* +X0000747000Y0000550796D01* +X0000745900Y0000549696D01* +X0000744800Y0000548596D01* +X0000744000Y0000547296D01* +X0000743499Y0000546496D01* +X0000742900Y0000545096D01* +X0000742499Y0000543596D01* +X0000742199Y0000542096D01* +X0000742100Y0000540596D01* +X0000742100Y0000540596D01* +G36* +X0000569700Y0000532796D02* +X0000569799Y0000532696D01* +X0000570000Y0000532596D01* +X0000570399Y0000532496D01* +X0000570899Y0000532296D01* +X0000571600Y0000532096D01* +X0000572299Y0000531796D01* +X0000573099Y0000531496D01* +X0000574000Y0000531196D01* +X0000574900Y0000530896D01* +X0000575799Y0000530596D01* +X0000576699Y0000530296D01* +X0000577600Y0000529996D01* +X0000578400Y0000529696D01* +X0000579200Y0000529396D01* +X0000579899Y0000529096D01* +X0000580499Y0000528896D01* +X0000580999Y0000528796D01* +X0000581400Y0000528696D01* +X0000581599Y0000528596D01* +X0000581700Y0000528596D01* +X0000581799Y0000528596D01* +X0000582099Y0000528696D01* +X0000582500Y0000528896D01* +X0000583100Y0000529096D01* +X0000583799Y0000529296D01* +X0000584500Y0000529596D01* +X0000585399Y0000529996D01* +X0000586300Y0000530296D01* +X0000587200Y0000530696D01* +X0000588200Y0000530996D01* +X0000589100Y0000531396D01* +X0000589999Y0000531696D01* +X0000590700Y0000531996D01* +X0000591399Y0000532296D01* +X0000591899Y0000532496D01* +X0000592300Y0000532696D01* +X0000592499Y0000532796D01* +X0000592600Y0000532896D01* +X0000592699Y0000532996D01* +X0000592900Y0000533296D01* +X0000593299Y0000533596D01* +X0000593799Y0000533996D01* +X0000594300Y0000534396D01* +X0000594899Y0000534896D01* +X0000595600Y0000535296D01* +X0000596299Y0000535696D01* +X0000597000Y0000536196D01* +X0000597599Y0000536496D01* +X0000598300Y0000536896D01* +X0000598499Y0000536996D01* +X0000598999Y0000537196D01* +X0000599400Y0000537396D01* +X0000599599Y0000537596D01* +X0000599799Y0000537696D01* +X0000599799Y0000537696D01* +X0000599799Y0000537796D01* +X0000599799Y0000537796D01* +X0000599700Y0000537996D01* +X0000599500Y0000538196D01* +X0000599200Y0000538596D01* +X0000598799Y0000538896D01* +X0000598799Y0000538896D01* +X0000598300Y0000539496D01* +X0000598000Y0000539896D01* +X0000597800Y0000540196D01* +X0000597800Y0000540496D01* +X0000597899Y0000540596D01* +X0000597899Y0000540696D01* +X0000597800Y0000540796D01* +X0000597500Y0000540996D01* +X0000597099Y0000541096D01* +X0000596599Y0000540996D01* +X0000595799Y0000540896D01* +X0000595199Y0000540696D01* +X0000594800Y0000540596D01* +X0000594399Y0000540496D01* +X0000593899Y0000540396D01* +X0000593400Y0000540296D01* +X0000592699Y0000540096D01* +X0000592000Y0000539996D01* +X0000591200Y0000539796D01* +X0000590200Y0000539596D01* +X0000589000Y0000539396D01* +X0000588800Y0000539296D01* +X0000586000Y0000538696D01* +X0000583300Y0000537996D01* +X0000580699Y0000537196D01* +X0000578500Y0000536296D01* +X0000577999Y0000536196D01* +X0000577400Y0000535896D01* +X0000576699Y0000535596D01* +X0000575899Y0000535296D01* +X0000574999Y0000534896D01* +X0000574100Y0000534496D01* +X0000573300Y0000534196D01* +X0000572500Y0000533896D01* +X0000571799Y0000533596D01* +X0000571100Y0000533296D01* +X0000570599Y0000533096D01* +X0000570099Y0000532896D01* +X0000569799Y0000532796D01* +X0000569700Y0000532796D01* +X0000569700Y0000532796D01* +X0000569700Y0000532796D01* +X0000569700Y0000532796D01* +G37* +X0000569700Y0000532796D02* +X0000569799Y0000532696D01* +X0000570000Y0000532596D01* +X0000570399Y0000532496D01* +X0000570899Y0000532296D01* +X0000571600Y0000532096D01* +X0000572299Y0000531796D01* +X0000573099Y0000531496D01* +X0000574000Y0000531196D01* +X0000574900Y0000530896D01* +X0000575799Y0000530596D01* +X0000576699Y0000530296D01* +X0000577600Y0000529996D01* +X0000578400Y0000529696D01* +X0000579200Y0000529396D01* +X0000579899Y0000529096D01* +X0000580499Y0000528896D01* +X0000580999Y0000528796D01* +X0000581400Y0000528696D01* +X0000581599Y0000528596D01* +X0000581700Y0000528596D01* +X0000581799Y0000528596D01* +X0000582099Y0000528696D01* +X0000582500Y0000528896D01* +X0000583100Y0000529096D01* +X0000583799Y0000529296D01* +X0000584500Y0000529596D01* +X0000585399Y0000529996D01* +X0000586300Y0000530296D01* +X0000587200Y0000530696D01* +X0000588200Y0000530996D01* +X0000589100Y0000531396D01* +X0000589999Y0000531696D01* +X0000590700Y0000531996D01* +X0000591399Y0000532296D01* +X0000591899Y0000532496D01* +X0000592300Y0000532696D01* +X0000592499Y0000532796D01* +X0000592600Y0000532896D01* +X0000592699Y0000532996D01* +X0000592900Y0000533296D01* +X0000593299Y0000533596D01* +X0000593799Y0000533996D01* +X0000594300Y0000534396D01* +X0000594899Y0000534896D01* +X0000595600Y0000535296D01* +X0000596299Y0000535696D01* +X0000597000Y0000536196D01* +X0000597599Y0000536496D01* +X0000598300Y0000536896D01* +X0000598499Y0000536996D01* +X0000598999Y0000537196D01* +X0000599400Y0000537396D01* +X0000599599Y0000537596D01* +X0000599799Y0000537696D01* +X0000599799Y0000537696D01* +X0000599799Y0000537796D01* +X0000599799Y0000537796D01* +X0000599700Y0000537996D01* +X0000599500Y0000538196D01* +X0000599200Y0000538596D01* +X0000598799Y0000538896D01* +X0000598799Y0000538896D01* +X0000598300Y0000539496D01* +X0000598000Y0000539896D01* +X0000597800Y0000540196D01* +X0000597800Y0000540496D01* +X0000597899Y0000540596D01* +X0000597899Y0000540696D01* +X0000597800Y0000540796D01* +X0000597500Y0000540996D01* +X0000597099Y0000541096D01* +X0000596599Y0000540996D01* +X0000595799Y0000540896D01* +X0000595199Y0000540696D01* +X0000594800Y0000540596D01* +X0000594399Y0000540496D01* +X0000593899Y0000540396D01* +X0000593400Y0000540296D01* +X0000592699Y0000540096D01* +X0000592000Y0000539996D01* +X0000591200Y0000539796D01* +X0000590200Y0000539596D01* +X0000589000Y0000539396D01* +X0000588800Y0000539296D01* +X0000586000Y0000538696D01* +X0000583300Y0000537996D01* +X0000580699Y0000537196D01* +X0000578500Y0000536296D01* +X0000577999Y0000536196D01* +X0000577400Y0000535896D01* +X0000576699Y0000535596D01* +X0000575899Y0000535296D01* +X0000574999Y0000534896D01* +X0000574100Y0000534496D01* +X0000573300Y0000534196D01* +X0000572500Y0000533896D01* +X0000571799Y0000533596D01* +X0000571100Y0000533296D01* +X0000570599Y0000533096D01* +X0000570099Y0000532896D01* +X0000569799Y0000532796D01* +X0000569700Y0000532796D01* +X0000569700Y0000532796D01* +X0000569700Y0000532796D01* +G36* +X0000622999Y0000568896D02* +X0000623299Y0000568596D01* +X0000623299Y0000568596D01* +X0000623400Y0000568496D01* +X0000623500Y0000568496D01* +X0000623700Y0000568396D01* +X0000624000Y0000568296D01* +X0000624300Y0000568196D01* +X0000624800Y0000568196D01* +X0000625400Y0000567996D01* +X0000626100Y0000567896D01* +X0000627000Y0000567696D01* +X0000628000Y0000567496D01* +X0000628699Y0000567396D01* +X0000629599Y0000567196D01* +X0000630599Y0000567096D01* +X0000631499Y0000566896D01* +X0000632200Y0000566796D01* +X0000632900Y0000566596D01* +X0000633500Y0000566496D01* +X0000633899Y0000566396D01* +X0000634100Y0000566396D01* +X0000634100Y0000566396D01* +X0000634400Y0000566196D01* +X0000634600Y0000565996D01* +X0000634699Y0000565796D01* +X0000634900Y0000565396D01* +X0000635100Y0000564896D01* +X0000635299Y0000564296D01* +X0000635599Y0000563596D01* +X0000635900Y0000562796D01* +X0000636200Y0000562096D01* +X0000636500Y0000561296D01* +X0000636800Y0000560496D01* +X0000637100Y0000559796D01* +X0000637400Y0000559096D01* +X0000637600Y0000558496D01* +X0000637799Y0000557996D01* +X0000637900Y0000557596D01* +X0000637999Y0000557296D01* +X0000637999Y0000557296D01* +X0000637999Y0000557196D01* +X0000637999Y0000557096D01* +X0000637999Y0000556996D01* +X0000637900Y0000556796D01* +X0000637799Y0000556596D01* +X0000637600Y0000556296D01* +X0000637300Y0000555896D01* +X0000637000Y0000555396D01* +X0000636599Y0000554796D01* +X0000636099Y0000554196D01* +X0000635599Y0000553396D01* +X0000634999Y0000552596D01* +X0000634499Y0000551796D01* +X0000634000Y0000550996D01* +X0000633500Y0000550296D01* +X0000633000Y0000549596D01* +X0000632599Y0000548996D01* +X0000632299Y0000548496D01* +X0000632100Y0000548096D01* +X0000631900Y0000547896D01* +X0000631900Y0000547796D01* +X0000631900Y0000547696D01* +X0000631900Y0000547596D01* +X0000631999Y0000547496D01* +X0000632100Y0000547296D01* +X0000632299Y0000547096D01* +X0000632599Y0000546796D01* +X0000633000Y0000546396D01* +X0000633399Y0000545896D01* +X0000634000Y0000545296D01* +X0000634699Y0000544596D01* +X0000635499Y0000543796D01* +X0000635799Y0000543596D01* +X0000636500Y0000542796D01* +X0000637199Y0000542096D01* +X0000637900Y0000541496D01* +X0000638400Y0000540896D01* +X0000639000Y0000540396D01* +X0000639399Y0000539996D01* +X0000639699Y0000539696D01* +X0000639899Y0000539496D01* +X0000640000Y0000539396D01* +X0000640300Y0000539396D01* +X0000640400Y0000539396D01* +X0000640600Y0000539396D01* +X0000640799Y0000539596D01* +X0000641200Y0000539896D01* +X0000641700Y0000540196D01* +X0000642300Y0000540596D01* +X0000642899Y0000540996D01* +X0000643600Y0000541496D01* +X0000643799Y0000541596D01* +X0000644499Y0000542096D01* +X0000645099Y0000542496D01* +X0000645699Y0000542896D01* +X0000646199Y0000543196D01* +X0000646499Y0000543496D01* +X0000646799Y0000543696D01* +X0000646900Y0000543696D01* +X0000646900Y0000543696D01* +X0000646999Y0000543796D01* +X0000647299Y0000543896D01* +X0000647599Y0000544096D01* +X0000648000Y0000544396D01* +X0000648200Y0000544496D01* +X0000648699Y0000544896D01* +X0000649199Y0000545196D01* +X0000649499Y0000545296D01* +X0000649600Y0000545296D01* +X0000649799Y0000545296D01* +X0000650100Y0000545196D01* +X0000650599Y0000544996D01* +X0000651099Y0000544696D01* +X0000651200Y0000544596D01* +X0000652000Y0000544196D01* +X0000652699Y0000543896D01* +X0000653299Y0000543596D01* +X0000653700Y0000543396D01* +X0000654000Y0000543296D01* +X0000654099Y0000543296D01* +X0000654300Y0000543396D01* +X0000654399Y0000543596D01* +X0000654500Y0000543696D01* +X0000654599Y0000543996D01* +X0000654800Y0000544396D01* +X0000655000Y0000544896D01* +X0000655199Y0000545496D01* +X0000655499Y0000546096D01* +X0000655799Y0000546796D01* +X0000656100Y0000547496D01* +X0000656299Y0000548096D01* +X0000656599Y0000548696D01* +X0000656799Y0000549196D01* +X0000657000Y0000549596D01* +X0000657099Y0000549896D01* +X0000657099Y0000550096D01* +X0000657099Y0000550096D01* +X0000657200Y0000550196D01* +X0000657300Y0000550396D01* +X0000657399Y0000550896D01* +X0000657699Y0000551396D01* +X0000657899Y0000552096D01* +X0000658300Y0000552896D01* +X0000658600Y0000553696D01* +X0000658999Y0000554696D01* +X0000659400Y0000555696D01* +X0000659700Y0000556396D01* +X0000660200Y0000557596D01* +X0000660599Y0000558696D01* +X0000661000Y0000559596D01* +X0000661300Y0000560396D01* +X0000661600Y0000560996D01* +X0000661800Y0000561496D01* +X0000661899Y0000561896D01* +X0000662100Y0000562196D01* +X0000662100Y0000562496D01* +X0000662200Y0000562596D01* +X0000662200Y0000562696D01* +X0000662200Y0000562796D01* +X0000661999Y0000562996D01* +X0000661800Y0000563196D01* +X0000661600Y0000563296D01* +X0000661000Y0000563696D01* +X0000660300Y0000564196D01* +X0000659599Y0000564696D01* +X0000658999Y0000565296D01* +X0000658400Y0000565796D01* +X0000658100Y0000566096D01* +X0000657099Y0000567196D01* +X0000656299Y0000568496D01* +X0000655699Y0000569796D01* +X0000655199Y0000571196D01* +X0000654899Y0000572696D01* +X0000654800Y0000574096D01* +X0000654800Y0000575496D01* +X0000655000Y0000576996D01* +X0000655499Y0000578396D01* +X0000655799Y0000579296D01* +X0000656499Y0000580696D01* +X0000657399Y0000581996D01* +X0000658400Y0000583096D01* +X0000659599Y0000584096D01* +X0000660800Y0000584896D01* +X0000662200Y0000585596D01* +X0000663599Y0000586096D01* +X0000664899Y0000586396D01* +X0000665599Y0000586496D01* +X0000666399Y0000586596D01* +X0000667199Y0000586596D01* +X0000668100Y0000586596D01* +X0000668799Y0000586496D01* +X0000669200Y0000586396D01* +X0000670600Y0000586096D01* +X0000672099Y0000585496D01* +X0000673400Y0000584796D01* +X0000674599Y0000583996D01* +X0000675599Y0000582996D01* +X0000676600Y0000581896D01* +X0000677400Y0000580696D01* +X0000678099Y0000579396D01* +X0000678699Y0000577896D01* +X0000679000Y0000576396D01* +X0000679100Y0000576196D01* +X0000679100Y0000575296D01* +X0000679199Y0000574396D01* +X0000679100Y0000573396D01* +X0000679000Y0000572396D01* +X0000678899Y0000571496D01* +X0000678399Y0000570096D01* +X0000677700Y0000568696D01* +X0000676900Y0000567396D01* +X0000675899Y0000566196D01* +X0000675800Y0000566096D01* +X0000675300Y0000565596D01* +X0000674799Y0000564996D01* +X0000674100Y0000564496D01* +X0000673400Y0000563896D01* +X0000672800Y0000563496D01* +X0000672500Y0000563296D01* +X0000672200Y0000563096D01* +X0000672000Y0000562896D01* +X0000671900Y0000562796D01* +X0000671900Y0000562696D01* +X0000671900Y0000562596D01* +X0000672000Y0000562396D01* +X0000672000Y0000562196D01* +X0000672099Y0000561896D01* +X0000672300Y0000561496D01* +X0000672500Y0000560996D01* +X0000672699Y0000560396D01* +X0000673000Y0000559596D01* +X0000673400Y0000558796D01* +X0000673800Y0000557796D01* +X0000674200Y0000556696D01* +X0000674799Y0000555396D01* +X0000675300Y0000553896D01* +X0000675599Y0000552996D01* +X0000676199Y0000551696D01* +X0000676699Y0000550496D01* +X0000677200Y0000549296D01* +X0000677700Y0000548096D01* +X0000678099Y0000547096D01* +X0000678500Y0000546196D01* +X0000678899Y0000545396D01* +X0000679100Y0000544696D01* +X0000679400Y0000544096D01* +X0000679600Y0000543696D01* +X0000679699Y0000543396D01* +X0000679699Y0000543396D01* +X0000679800Y0000543296D01* +X0000679900Y0000543296D01* +X0000679999Y0000543296D01* +X0000680099Y0000543296D01* +X0000680299Y0000543396D01* +X0000680599Y0000543496D01* +X0000680900Y0000543696D01* +X0000681500Y0000543996D01* +X0000682100Y0000544396D01* +X0000682199Y0000544396D01* +X0000682800Y0000544696D01* +X0000683299Y0000544996D01* +X0000683799Y0000545196D01* +X0000684099Y0000545296D01* +X0000684300Y0000545396D01* +X0000684399Y0000545396D01* +X0000684500Y0000545396D01* +X0000684699Y0000545196D01* +X0000685100Y0000544996D01* +X0000685499Y0000544696D01* +X0000686099Y0000544296D01* +X0000686900Y0000543896D01* +X0000687800Y0000543296D01* +X0000688800Y0000542496D01* +X0000688999Y0000542396D01* +X0000689799Y0000541796D01* +X0000690599Y0000541296D01* +X0000691300Y0000540796D01* +X0000691999Y0000540396D01* +X0000692499Y0000539996D01* +X0000693000Y0000539696D01* +X0000693300Y0000539496D01* +X0000693500Y0000539396D01* +X0000693500Y0000539396D01* +X0000693800Y0000539396D01* +X0000693899Y0000539396D01* +X0000694000Y0000539496D01* +X0000694300Y0000539696D01* +X0000694600Y0000540096D01* +X0000695099Y0000540496D01* +X0000695599Y0000540996D01* +X0000696099Y0000541496D01* +X0000696800Y0000542196D01* +X0000697399Y0000542796D01* +X0000698100Y0000543496D01* +X0000698700Y0000544096D01* +X0000699399Y0000544796D01* +X0000700000Y0000545396D01* +X0000700499Y0000545896D01* +X0000700999Y0000546396D01* +X0000701400Y0000546896D01* +X0000701700Y0000547196D01* +X0000701900Y0000547396D01* +X0000702000Y0000547496D01* +X0000702099Y0000547696D01* +X0000702099Y0000547896D01* +X0000702000Y0000547996D01* +X0000701799Y0000548296D01* +X0000701599Y0000548696D01* +X0000701199Y0000549196D01* +X0000700800Y0000549796D01* +X0000700399Y0000550496D01* +X0000699800Y0000551196D01* +X0000699300Y0000552096D01* +X0000699000Y0000552496D01* +X0000698299Y0000553496D01* +X0000697699Y0000554396D01* +X0000697199Y0000555096D01* +X0000696800Y0000555696D01* +X0000696500Y0000556196D01* +X0000696300Y0000556596D01* +X0000696099Y0000556896D01* +X0000696000Y0000557096D01* +X0000695900Y0000557196D01* +X0000695900Y0000557296D01* +X0000696000Y0000557396D01* +X0000696099Y0000557696D01* +X0000696200Y0000558096D01* +X0000696399Y0000558696D01* +X0000696700Y0000559296D01* +X0000696899Y0000559996D01* +X0000697199Y0000560796D01* +X0000697499Y0000561596D01* +X0000697800Y0000562396D01* +X0000698199Y0000563096D01* +X0000698400Y0000563896D01* +X0000698700Y0000564496D01* +X0000698900Y0000565096D01* +X0000699099Y0000565596D01* +X0000699300Y0000565896D01* +X0000699300Y0000565996D01* +X0000699500Y0000566196D01* +X0000699800Y0000566396D01* +X0000700000Y0000566396D01* +X0000700300Y0000566496D01* +X0000700800Y0000566596D01* +X0000701400Y0000566696D01* +X0000702099Y0000566796D01* +X0000703000Y0000566996D01* +X0000703900Y0000567196D01* +X0000704900Y0000567296D01* +X0000705300Y0000567396D01* +X0000706399Y0000567596D01* +X0000707400Y0000567796D01* +X0000708200Y0000567996D01* +X0000708800Y0000568096D01* +X0000709399Y0000568196D01* +X0000709800Y0000568296D01* +X0000710100Y0000568396D01* +X0000710299Y0000568396D01* +X0000710499Y0000568496D01* +X0000710599Y0000568496D01* +X0000710700Y0000568596D01* +X0000710700Y0000568596D01* +X0000710900Y0000568896D01* +X0000710900Y0000574496D01* +X0000710900Y0000575696D01* +X0000710900Y0000576896D01* +X0000710900Y0000577896D01* +X0000710900Y0000578696D01* +X0000710900Y0000579396D01* +X0000710799Y0000579796D01* +X0000710799Y0000580096D01* +X0000710799Y0000580196D01* +X0000710599Y0000580396D01* +X0000710499Y0000580496D01* +X0000710400Y0000580496D01* +X0000710100Y0000580596D01* +X0000709600Y0000580696D01* +X0000709000Y0000580796D01* +X0000708300Y0000580896D01* +X0000707499Y0000581096D01* +X0000706600Y0000581196D01* +X0000705599Y0000581396D01* +X0000704900Y0000581596D01* +X0000703600Y0000581796D01* +X0000702399Y0000581996D01* +X0000701400Y0000582196D01* +X0000700600Y0000582396D01* +X0000700000Y0000582496D01* +X0000699599Y0000582596D01* +X0000699300Y0000582696D01* +X0000699300Y0000582696D01* +X0000699200Y0000582796D01* +X0000699099Y0000583096D01* +X0000698900Y0000583496D01* +X0000698599Y0000583996D01* +X0000698299Y0000584796D01* +X0000697900Y0000585596D01* +X0000697399Y0000586696D01* +X0000697399Y0000586896D01* +X0000696899Y0000587896D01* +X0000696599Y0000588696D01* +X0000696300Y0000589396D01* +X0000696099Y0000589896D01* +X0000695900Y0000590296D01* +X0000695799Y0000590696D01* +X0000695700Y0000590896D01* +X0000695700Y0000591096D01* +X0000695700Y0000591196D01* +X0000695700Y0000591296D01* +X0000695700Y0000591396D01* +X0000695700Y0000591496D01* +X0000695799Y0000591696D01* +X0000696000Y0000591896D01* +X0000696200Y0000592296D01* +X0000696399Y0000592696D01* +X0000696800Y0000593196D01* +X0000697199Y0000593796D01* +X0000697699Y0000594596D01* +X0000698299Y0000595396D01* +X0000698799Y0000596196D01* +X0000699399Y0000597096D01* +X0000700000Y0000597896D01* +X0000700499Y0000598596D01* +X0000700900Y0000599296D01* +X0000701299Y0000599896D01* +X0000701700Y0000600396D01* +X0000701900Y0000600696D01* +X0000702000Y0000600896D01* +X0000702099Y0000600996D01* +X0000702099Y0000601196D01* +X0000702000Y0000601396D01* +X0000701900Y0000601596D01* +X0000701700Y0000601796D01* +X0000701400Y0000602096D01* +X0000700900Y0000602596D01* +X0000700399Y0000603096D01* +X0000699899Y0000603696D01* +X0000699300Y0000604296D01* +X0000698599Y0000604896D01* +X0000697999Y0000605596D01* +X0000697300Y0000606196D01* +X0000696700Y0000606796D01* +X0000696099Y0000607496D01* +X0000695499Y0000607996D01* +X0000694999Y0000608496D01* +X0000694600Y0000608896D01* +X0000694199Y0000609196D01* +X0000694000Y0000609396D01* +X0000693899Y0000609496D01* +X0000693899Y0000609496D01* +X0000693599Y0000609496D01* +X0000693500Y0000609496D01* +X0000693399Y0000609496D01* +X0000693200Y0000609296D01* +X0000692799Y0000609096D01* +X0000692299Y0000608696D01* +X0000691699Y0000608296D01* +X0000691000Y0000607896D01* +X0000690200Y0000607296D01* +X0000689400Y0000606796D01* +X0000688699Y0000606296D01* +X0000687800Y0000605696D01* +X0000687000Y0000605096D01* +X0000686200Y0000604596D01* +X0000685499Y0000604096D01* +X0000684899Y0000603696D01* +X0000684399Y0000603396D01* +X0000684000Y0000603196D01* +X0000683799Y0000602996D01* +X0000683700Y0000602996D01* +X0000683599Y0000602996D01* +X0000683299Y0000602996D01* +X0000682999Y0000603196D01* +X0000682499Y0000603296D01* +X0000681899Y0000603596D01* +X0000681099Y0000603896D01* +X0000680200Y0000604296D01* +X0000679600Y0000604496D01* +X0000678699Y0000604796D01* +X0000678000Y0000605196D01* +X0000677299Y0000605496D01* +X0000676600Y0000605696D01* +X0000676100Y0000605896D01* +X0000675800Y0000606096D01* +X0000675500Y0000606196D01* +X0000675500Y0000606196D01* +X0000675300Y0000606396D01* +X0000675200Y0000606696D01* +X0000675200Y0000606696D01* +X0000675099Y0000606796D01* +X0000675099Y0000607196D01* +X0000675000Y0000607696D01* +X0000674900Y0000608296D01* +X0000674799Y0000608996D01* +X0000674599Y0000609896D01* +X0000674499Y0000610796D01* +X0000674299Y0000611796D01* +X0000674200Y0000612496D01* +X0000673900Y0000613696D01* +X0000673800Y0000614696D01* +X0000673600Y0000615496D01* +X0000673499Y0000616196D01* +X0000673400Y0000616696D01* +X0000673300Y0000617196D01* +X0000673199Y0000617496D01* +X0000673100Y0000617696D01* +X0000673100Y0000617896D01* +X0000673000Y0000617996D01* +X0000673000Y0000618096D01* +X0000672899Y0000618196D01* +X0000672699Y0000618396D01* +X0000667000Y0000618396D01* +X0000661300Y0000618396D01* +X0000661100Y0000618196D01* +X0000660899Y0000617996D01* +X0000660899Y0000617796D01* +X0000660899Y0000617796D01* +X0000660800Y0000617696D01* +X0000660800Y0000617396D01* +X0000660699Y0000616896D01* +X0000660599Y0000616296D01* +X0000660500Y0000615596D01* +X0000660300Y0000614896D01* +X0000660200Y0000613996D01* +X0000660000Y0000613096D01* +X0000659799Y0000612196D01* +X0000659700Y0000611296D01* +X0000659499Y0000610396D01* +X0000659299Y0000609596D01* +X0000659200Y0000608796D01* +X0000658999Y0000608096D01* +X0000658899Y0000607496D01* +X0000658799Y0000606996D01* +X0000658699Y0000606696D01* +X0000658699Y0000606496D01* +X0000658699Y0000606496D01* +X0000658699Y0000606396D01* +X0000658600Y0000606396D01* +X0000658499Y0000606296D01* +X0000658400Y0000606196D01* +X0000658300Y0000606096D01* +X0000658000Y0000605996D01* +X0000657699Y0000605896D01* +X0000657300Y0000605696D01* +X0000656700Y0000605396D01* +X0000655999Y0000605196D01* +X0000655100Y0000604796D01* +X0000654300Y0000604496D01* +X0000653299Y0000603996D01* +X0000652400Y0000603696D01* +X0000651699Y0000603396D01* +X0000651200Y0000603196D01* +X0000650799Y0000603096D01* +X0000650499Y0000602996D01* +X0000650299Y0000602996D01* +X0000650299Y0000602996D01* +X0000650100Y0000602996D01* +X0000649899Y0000603196D01* +X0000649499Y0000603496D01* +X0000648899Y0000603796D01* +X0000648300Y0000604196D01* +X0000647599Y0000604696D01* +X0000646799Y0000605196D01* +X0000646000Y0000605796D01* +X0000645300Y0000606296D01* +X0000644499Y0000606896D01* +X0000643600Y0000607396D01* +X0000642899Y0000607896D01* +X0000642200Y0000608396D01* +X0000641599Y0000608796D01* +X0000641100Y0000609096D01* +X0000640799Y0000609396D01* +X0000640499Y0000609496D01* +X0000640400Y0000609596D01* +X0000640199Y0000609596D01* +X0000640000Y0000609496D01* +X0000639899Y0000609396D01* +X0000639599Y0000609196D01* +X0000639300Y0000608796D01* +X0000638900Y0000608396D01* +X0000638299Y0000607896D01* +X0000637799Y0000607296D01* +X0000637199Y0000606696D01* +X0000636500Y0000606096D01* +X0000635799Y0000605396D01* +X0000635200Y0000604796D01* +X0000634600Y0000604096D01* +X0000633899Y0000603496D01* +X0000633399Y0000602996D01* +X0000632900Y0000602496D01* +X0000632499Y0000601996D01* +X0000632200Y0000601696D01* +X0000631999Y0000601496D01* +X0000631900Y0000601396D01* +X0000631900Y0000601096D01* +X0000631900Y0000600996D01* +X0000631900Y0000600896D01* +X0000632100Y0000600596D01* +X0000632400Y0000600196D01* +X0000632700Y0000599696D01* +X0000633099Y0000599096D01* +X0000633599Y0000598396D01* +X0000634100Y0000597696D01* +X0000634699Y0000596796D01* +X0000635100Y0000596196D01* +X0000635700Y0000595396D01* +X0000636200Y0000594596D01* +X0000636699Y0000593796D01* +X0000637199Y0000593096D01* +X0000637600Y0000592596D01* +X0000637900Y0000592096D01* +X0000638100Y0000591696D01* +X0000638299Y0000591496D01* +X0000638299Y0000591396D01* +X0000638299Y0000591396D01* +X0000638400Y0000591296D01* +X0000638400Y0000591196D01* +X0000638299Y0000591096D01* +X0000638299Y0000590896D01* +X0000638200Y0000590596D01* +X0000638100Y0000590296D01* +X0000637900Y0000589896D01* +X0000637699Y0000589296D01* +X0000637400Y0000588696D01* +X0000637000Y0000587896D01* +X0000636599Y0000586896D01* +X0000636500Y0000586696D01* +X0000636200Y0000585796D01* +X0000635799Y0000584996D01* +X0000635499Y0000584296D01* +X0000635200Y0000583696D01* +X0000634999Y0000583296D01* +X0000634799Y0000582896D01* +X0000634699Y0000582796D01* +X0000634699Y0000582796D01* +X0000634600Y0000582696D01* +X0000634499Y0000582696D01* +X0000634199Y0000582596D01* +X0000633899Y0000582496D01* +X0000633500Y0000582396D01* +X0000632900Y0000582296D01* +X0000632100Y0000582196D01* +X0000631300Y0000581996D01* +X0000630200Y0000581796D01* +X0000630099Y0000581796D01* +X0000628799Y0000581496D01* +X0000627699Y0000581296D01* +X0000626700Y0000581096D01* +X0000625900Y0000580996D01* +X0000625300Y0000580896D01* +X0000624699Y0000580796D01* +X0000624300Y0000580696D01* +X0000624000Y0000580596D01* +X0000623700Y0000580496D01* +X0000623500Y0000580496D01* +X0000623400Y0000580396D01* +X0000623299Y0000580396D01* +X0000623299Y0000580396D01* +X0000623200Y0000580296D01* +X0000623200Y0000580296D01* +X0000623200Y0000580196D01* +X0000623200Y0000580096D01* +X0000623100Y0000579996D01* +X0000623100Y0000579896D01* +X0000623100Y0000579596D01* +X0000623100Y0000579296D01* +X0000623100Y0000578896D01* +X0000623100Y0000578396D01* +X0000622999Y0000577696D01* +X0000622999Y0000576896D01* +X0000622999Y0000575996D01* +X0000622999Y0000574896D01* +X0000622999Y0000574496D01* +X0000622999Y0000568896D01* +X0000622999Y0000568896D01* +X0000622999Y0000568896D01* +G37* +X0000622999Y0000568896D02* +X0000623299Y0000568596D01* +X0000623299Y0000568596D01* +X0000623400Y0000568496D01* +X0000623500Y0000568496D01* +X0000623700Y0000568396D01* +X0000624000Y0000568296D01* +X0000624300Y0000568196D01* +X0000624800Y0000568196D01* +X0000625400Y0000567996D01* +X0000626100Y0000567896D01* +X0000627000Y0000567696D01* +X0000628000Y0000567496D01* +X0000628699Y0000567396D01* +X0000629599Y0000567196D01* +X0000630599Y0000567096D01* +X0000631499Y0000566896D01* +X0000632200Y0000566796D01* +X0000632900Y0000566596D01* +X0000633500Y0000566496D01* +X0000633899Y0000566396D01* +X0000634100Y0000566396D01* +X0000634100Y0000566396D01* +X0000634400Y0000566196D01* +X0000634600Y0000565996D01* +X0000634699Y0000565796D01* +X0000634900Y0000565396D01* +X0000635100Y0000564896D01* +X0000635299Y0000564296D01* +X0000635599Y0000563596D01* +X0000635900Y0000562796D01* +X0000636200Y0000562096D01* +X0000636500Y0000561296D01* +X0000636800Y0000560496D01* +X0000637100Y0000559796D01* +X0000637400Y0000559096D01* +X0000637600Y0000558496D01* +X0000637799Y0000557996D01* +X0000637900Y0000557596D01* +X0000637999Y0000557296D01* +X0000637999Y0000557296D01* +X0000637999Y0000557196D01* +X0000637999Y0000557096D01* +X0000637999Y0000556996D01* +X0000637900Y0000556796D01* +X0000637799Y0000556596D01* +X0000637600Y0000556296D01* +X0000637300Y0000555896D01* +X0000637000Y0000555396D01* +X0000636599Y0000554796D01* +X0000636099Y0000554196D01* +X0000635599Y0000553396D01* +X0000634999Y0000552596D01* +X0000634499Y0000551796D01* +X0000634000Y0000550996D01* +X0000633500Y0000550296D01* +X0000633000Y0000549596D01* +X0000632599Y0000548996D01* +X0000632299Y0000548496D01* +X0000632100Y0000548096D01* +X0000631900Y0000547896D01* +X0000631900Y0000547796D01* +X0000631900Y0000547696D01* +X0000631900Y0000547596D01* +X0000631999Y0000547496D01* +X0000632100Y0000547296D01* +X0000632299Y0000547096D01* +X0000632599Y0000546796D01* +X0000633000Y0000546396D01* +X0000633399Y0000545896D01* +X0000634000Y0000545296D01* +X0000634699Y0000544596D01* +X0000635499Y0000543796D01* +X0000635799Y0000543596D01* +X0000636500Y0000542796D01* +X0000637199Y0000542096D01* +X0000637900Y0000541496D01* +X0000638400Y0000540896D01* +X0000639000Y0000540396D01* +X0000639399Y0000539996D01* +X0000639699Y0000539696D01* +X0000639899Y0000539496D01* +X0000640000Y0000539396D01* +X0000640300Y0000539396D01* +X0000640400Y0000539396D01* +X0000640600Y0000539396D01* +X0000640799Y0000539596D01* +X0000641200Y0000539896D01* +X0000641700Y0000540196D01* +X0000642300Y0000540596D01* +X0000642899Y0000540996D01* +X0000643600Y0000541496D01* +X0000643799Y0000541596D01* +X0000644499Y0000542096D01* +X0000645099Y0000542496D01* +X0000645699Y0000542896D01* +X0000646199Y0000543196D01* +X0000646499Y0000543496D01* +X0000646799Y0000543696D01* +X0000646900Y0000543696D01* +X0000646900Y0000543696D01* +X0000646999Y0000543796D01* +X0000647299Y0000543896D01* +X0000647599Y0000544096D01* +X0000648000Y0000544396D01* +X0000648200Y0000544496D01* +X0000648699Y0000544896D01* +X0000649199Y0000545196D01* +X0000649499Y0000545296D01* +X0000649600Y0000545296D01* +X0000649799Y0000545296D01* +X0000650100Y0000545196D01* +X0000650599Y0000544996D01* +X0000651099Y0000544696D01* +X0000651200Y0000544596D01* +X0000652000Y0000544196D01* +X0000652699Y0000543896D01* +X0000653299Y0000543596D01* +X0000653700Y0000543396D01* +X0000654000Y0000543296D01* +X0000654099Y0000543296D01* +X0000654300Y0000543396D01* +X0000654399Y0000543596D01* +X0000654500Y0000543696D01* +X0000654599Y0000543996D01* +X0000654800Y0000544396D01* +X0000655000Y0000544896D01* +X0000655199Y0000545496D01* +X0000655499Y0000546096D01* +X0000655799Y0000546796D01* +X0000656100Y0000547496D01* +X0000656299Y0000548096D01* +X0000656599Y0000548696D01* +X0000656799Y0000549196D01* +X0000657000Y0000549596D01* +X0000657099Y0000549896D01* +X0000657099Y0000550096D01* +X0000657099Y0000550096D01* +X0000657200Y0000550196D01* +X0000657300Y0000550396D01* +X0000657399Y0000550896D01* +X0000657699Y0000551396D01* +X0000657899Y0000552096D01* +X0000658300Y0000552896D01* +X0000658600Y0000553696D01* +X0000658999Y0000554696D01* +X0000659400Y0000555696D01* +X0000659700Y0000556396D01* +X0000660200Y0000557596D01* +X0000660599Y0000558696D01* +X0000661000Y0000559596D01* +X0000661300Y0000560396D01* +X0000661600Y0000560996D01* +X0000661800Y0000561496D01* +X0000661899Y0000561896D01* +X0000662100Y0000562196D01* +X0000662100Y0000562496D01* +X0000662200Y0000562596D01* +X0000662200Y0000562696D01* +X0000662200Y0000562796D01* +X0000661999Y0000562996D01* +X0000661800Y0000563196D01* +X0000661600Y0000563296D01* +X0000661000Y0000563696D01* +X0000660300Y0000564196D01* +X0000659599Y0000564696D01* +X0000658999Y0000565296D01* +X0000658400Y0000565796D01* +X0000658100Y0000566096D01* +X0000657099Y0000567196D01* +X0000656299Y0000568496D01* +X0000655699Y0000569796D01* +X0000655199Y0000571196D01* +X0000654899Y0000572696D01* +X0000654800Y0000574096D01* +X0000654800Y0000575496D01* +X0000655000Y0000576996D01* +X0000655499Y0000578396D01* +X0000655799Y0000579296D01* +X0000656499Y0000580696D01* +X0000657399Y0000581996D01* +X0000658400Y0000583096D01* +X0000659599Y0000584096D01* +X0000660800Y0000584896D01* +X0000662200Y0000585596D01* +X0000663599Y0000586096D01* +X0000664899Y0000586396D01* +X0000665599Y0000586496D01* +X0000666399Y0000586596D01* +X0000667199Y0000586596D01* +X0000668100Y0000586596D01* +X0000668799Y0000586496D01* +X0000669200Y0000586396D01* +X0000670600Y0000586096D01* +X0000672099Y0000585496D01* +X0000673400Y0000584796D01* +X0000674599Y0000583996D01* +X0000675599Y0000582996D01* +X0000676600Y0000581896D01* +X0000677400Y0000580696D01* +X0000678099Y0000579396D01* +X0000678699Y0000577896D01* +X0000679000Y0000576396D01* +X0000679100Y0000576196D01* +X0000679100Y0000575296D01* +X0000679199Y0000574396D01* +X0000679100Y0000573396D01* +X0000679000Y0000572396D01* +X0000678899Y0000571496D01* +X0000678399Y0000570096D01* +X0000677700Y0000568696D01* +X0000676900Y0000567396D01* +X0000675899Y0000566196D01* +X0000675800Y0000566096D01* +X0000675300Y0000565596D01* +X0000674799Y0000564996D01* +X0000674100Y0000564496D01* +X0000673400Y0000563896D01* +X0000672800Y0000563496D01* +X0000672500Y0000563296D01* +X0000672200Y0000563096D01* +X0000672000Y0000562896D01* +X0000671900Y0000562796D01* +X0000671900Y0000562696D01* +X0000671900Y0000562596D01* +X0000672000Y0000562396D01* +X0000672000Y0000562196D01* +X0000672099Y0000561896D01* +X0000672300Y0000561496D01* +X0000672500Y0000560996D01* +X0000672699Y0000560396D01* +X0000673000Y0000559596D01* +X0000673400Y0000558796D01* +X0000673800Y0000557796D01* +X0000674200Y0000556696D01* +X0000674799Y0000555396D01* +X0000675300Y0000553896D01* +X0000675599Y0000552996D01* +X0000676199Y0000551696D01* +X0000676699Y0000550496D01* +X0000677200Y0000549296D01* +X0000677700Y0000548096D01* +X0000678099Y0000547096D01* +X0000678500Y0000546196D01* +X0000678899Y0000545396D01* +X0000679100Y0000544696D01* +X0000679400Y0000544096D01* +X0000679600Y0000543696D01* +X0000679699Y0000543396D01* +X0000679699Y0000543396D01* +X0000679800Y0000543296D01* +X0000679900Y0000543296D01* +X0000679999Y0000543296D01* +X0000680099Y0000543296D01* +X0000680299Y0000543396D01* +X0000680599Y0000543496D01* +X0000680900Y0000543696D01* +X0000681500Y0000543996D01* +X0000682100Y0000544396D01* +X0000682199Y0000544396D01* +X0000682800Y0000544696D01* +X0000683299Y0000544996D01* +X0000683799Y0000545196D01* +X0000684099Y0000545296D01* +X0000684300Y0000545396D01* +X0000684399Y0000545396D01* +X0000684500Y0000545396D01* +X0000684699Y0000545196D01* +X0000685100Y0000544996D01* +X0000685499Y0000544696D01* +X0000686099Y0000544296D01* +X0000686900Y0000543896D01* +X0000687800Y0000543296D01* +X0000688800Y0000542496D01* +X0000688999Y0000542396D01* +X0000689799Y0000541796D01* +X0000690599Y0000541296D01* +X0000691300Y0000540796D01* +X0000691999Y0000540396D01* +X0000692499Y0000539996D01* +X0000693000Y0000539696D01* +X0000693300Y0000539496D01* +X0000693500Y0000539396D01* +X0000693500Y0000539396D01* +X0000693800Y0000539396D01* +X0000693899Y0000539396D01* +X0000694000Y0000539496D01* +X0000694300Y0000539696D01* +X0000694600Y0000540096D01* +X0000695099Y0000540496D01* +X0000695599Y0000540996D01* +X0000696099Y0000541496D01* +X0000696800Y0000542196D01* +X0000697399Y0000542796D01* +X0000698100Y0000543496D01* +X0000698700Y0000544096D01* +X0000699399Y0000544796D01* +X0000700000Y0000545396D01* +X0000700499Y0000545896D01* +X0000700999Y0000546396D01* +X0000701400Y0000546896D01* +X0000701700Y0000547196D01* +X0000701900Y0000547396D01* +X0000702000Y0000547496D01* +X0000702099Y0000547696D01* +X0000702099Y0000547896D01* +X0000702000Y0000547996D01* +X0000701799Y0000548296D01* +X0000701599Y0000548696D01* +X0000701199Y0000549196D01* +X0000700800Y0000549796D01* +X0000700399Y0000550496D01* +X0000699800Y0000551196D01* +X0000699300Y0000552096D01* +X0000699000Y0000552496D01* +X0000698299Y0000553496D01* +X0000697699Y0000554396D01* +X0000697199Y0000555096D01* +X0000696800Y0000555696D01* +X0000696500Y0000556196D01* +X0000696300Y0000556596D01* +X0000696099Y0000556896D01* +X0000696000Y0000557096D01* +X0000695900Y0000557196D01* +X0000695900Y0000557296D01* +X0000696000Y0000557396D01* +X0000696099Y0000557696D01* +X0000696200Y0000558096D01* +X0000696399Y0000558696D01* +X0000696700Y0000559296D01* +X0000696899Y0000559996D01* +X0000697199Y0000560796D01* +X0000697499Y0000561596D01* +X0000697800Y0000562396D01* +X0000698199Y0000563096D01* +X0000698400Y0000563896D01* +X0000698700Y0000564496D01* +X0000698900Y0000565096D01* +X0000699099Y0000565596D01* +X0000699300Y0000565896D01* +X0000699300Y0000565996D01* +X0000699500Y0000566196D01* +X0000699800Y0000566396D01* +X0000700000Y0000566396D01* +X0000700300Y0000566496D01* +X0000700800Y0000566596D01* +X0000701400Y0000566696D01* +X0000702099Y0000566796D01* +X0000703000Y0000566996D01* +X0000703900Y0000567196D01* +X0000704900Y0000567296D01* +X0000705300Y0000567396D01* +X0000706399Y0000567596D01* +X0000707400Y0000567796D01* +X0000708200Y0000567996D01* +X0000708800Y0000568096D01* +X0000709399Y0000568196D01* +X0000709800Y0000568296D01* +X0000710100Y0000568396D01* +X0000710299Y0000568396D01* +X0000710499Y0000568496D01* +X0000710599Y0000568496D01* +X0000710700Y0000568596D01* +X0000710700Y0000568596D01* +X0000710900Y0000568896D01* +X0000710900Y0000574496D01* +X0000710900Y0000575696D01* +X0000710900Y0000576896D01* +X0000710900Y0000577896D01* +X0000710900Y0000578696D01* +X0000710900Y0000579396D01* +X0000710799Y0000579796D01* +X0000710799Y0000580096D01* +X0000710799Y0000580196D01* +X0000710599Y0000580396D01* +X0000710499Y0000580496D01* +X0000710400Y0000580496D01* +X0000710100Y0000580596D01* +X0000709600Y0000580696D01* +X0000709000Y0000580796D01* +X0000708300Y0000580896D01* +X0000707499Y0000581096D01* +X0000706600Y0000581196D01* +X0000705599Y0000581396D01* +X0000704900Y0000581596D01* +X0000703600Y0000581796D01* +X0000702399Y0000581996D01* +X0000701400Y0000582196D01* +X0000700600Y0000582396D01* +X0000700000Y0000582496D01* +X0000699599Y0000582596D01* +X0000699300Y0000582696D01* +X0000699300Y0000582696D01* +X0000699200Y0000582796D01* +X0000699099Y0000583096D01* +X0000698900Y0000583496D01* +X0000698599Y0000583996D01* +X0000698299Y0000584796D01* +X0000697900Y0000585596D01* +X0000697399Y0000586696D01* +X0000697399Y0000586896D01* +X0000696899Y0000587896D01* +X0000696599Y0000588696D01* +X0000696300Y0000589396D01* +X0000696099Y0000589896D01* +X0000695900Y0000590296D01* +X0000695799Y0000590696D01* +X0000695700Y0000590896D01* +X0000695700Y0000591096D01* +X0000695700Y0000591196D01* +X0000695700Y0000591296D01* +X0000695700Y0000591396D01* +X0000695700Y0000591496D01* +X0000695799Y0000591696D01* +X0000696000Y0000591896D01* +X0000696200Y0000592296D01* +X0000696399Y0000592696D01* +X0000696800Y0000593196D01* +X0000697199Y0000593796D01* +X0000697699Y0000594596D01* +X0000698299Y0000595396D01* +X0000698799Y0000596196D01* +X0000699399Y0000597096D01* +X0000700000Y0000597896D01* +X0000700499Y0000598596D01* +X0000700900Y0000599296D01* +X0000701299Y0000599896D01* +X0000701700Y0000600396D01* +X0000701900Y0000600696D01* +X0000702000Y0000600896D01* +X0000702099Y0000600996D01* +X0000702099Y0000601196D01* +X0000702000Y0000601396D01* +X0000701900Y0000601596D01* +X0000701700Y0000601796D01* +X0000701400Y0000602096D01* +X0000700900Y0000602596D01* +X0000700399Y0000603096D01* +X0000699899Y0000603696D01* +X0000699300Y0000604296D01* +X0000698599Y0000604896D01* +X0000697999Y0000605596D01* +X0000697300Y0000606196D01* +X0000696700Y0000606796D01* +X0000696099Y0000607496D01* +X0000695499Y0000607996D01* +X0000694999Y0000608496D01* +X0000694600Y0000608896D01* +X0000694199Y0000609196D01* +X0000694000Y0000609396D01* +X0000693899Y0000609496D01* +X0000693899Y0000609496D01* +X0000693599Y0000609496D01* +X0000693500Y0000609496D01* +X0000693399Y0000609496D01* +X0000693200Y0000609296D01* +X0000692799Y0000609096D01* +X0000692299Y0000608696D01* +X0000691699Y0000608296D01* +X0000691000Y0000607896D01* +X0000690200Y0000607296D01* +X0000689400Y0000606796D01* +X0000688699Y0000606296D01* +X0000687800Y0000605696D01* +X0000687000Y0000605096D01* +X0000686200Y0000604596D01* +X0000685499Y0000604096D01* +X0000684899Y0000603696D01* +X0000684399Y0000603396D01* +X0000684000Y0000603196D01* +X0000683799Y0000602996D01* +X0000683700Y0000602996D01* +X0000683599Y0000602996D01* +X0000683299Y0000602996D01* +X0000682999Y0000603196D01* +X0000682499Y0000603296D01* +X0000681899Y0000603596D01* +X0000681099Y0000603896D01* +X0000680200Y0000604296D01* +X0000679600Y0000604496D01* +X0000678699Y0000604796D01* +X0000678000Y0000605196D01* +X0000677299Y0000605496D01* +X0000676600Y0000605696D01* +X0000676100Y0000605896D01* +X0000675800Y0000606096D01* +X0000675500Y0000606196D01* +X0000675500Y0000606196D01* +X0000675300Y0000606396D01* +X0000675200Y0000606696D01* +X0000675200Y0000606696D01* +X0000675099Y0000606796D01* +X0000675099Y0000607196D01* +X0000675000Y0000607696D01* +X0000674900Y0000608296D01* +X0000674799Y0000608996D01* +X0000674599Y0000609896D01* +X0000674499Y0000610796D01* +X0000674299Y0000611796D01* +X0000674200Y0000612496D01* +X0000673900Y0000613696D01* +X0000673800Y0000614696D01* +X0000673600Y0000615496D01* +X0000673499Y0000616196D01* +X0000673400Y0000616696D01* +X0000673300Y0000617196D01* +X0000673199Y0000617496D01* +X0000673100Y0000617696D01* +X0000673100Y0000617896D01* +X0000673000Y0000617996D01* +X0000673000Y0000618096D01* +X0000672899Y0000618196D01* +X0000672699Y0000618396D01* +X0000667000Y0000618396D01* +X0000661300Y0000618396D01* +X0000661100Y0000618196D01* +X0000660899Y0000617996D01* +X0000660899Y0000617796D01* +X0000660899Y0000617796D01* +X0000660800Y0000617696D01* +X0000660800Y0000617396D01* +X0000660699Y0000616896D01* +X0000660599Y0000616296D01* +X0000660500Y0000615596D01* +X0000660300Y0000614896D01* +X0000660200Y0000613996D01* +X0000660000Y0000613096D01* +X0000659799Y0000612196D01* +X0000659700Y0000611296D01* +X0000659499Y0000610396D01* +X0000659299Y0000609596D01* +X0000659200Y0000608796D01* +X0000658999Y0000608096D01* +X0000658899Y0000607496D01* +X0000658799Y0000606996D01* +X0000658699Y0000606696D01* +X0000658699Y0000606496D01* +X0000658699Y0000606496D01* +X0000658699Y0000606396D01* +X0000658600Y0000606396D01* +X0000658499Y0000606296D01* +X0000658400Y0000606196D01* +X0000658300Y0000606096D01* +X0000658000Y0000605996D01* +X0000657699Y0000605896D01* +X0000657300Y0000605696D01* +X0000656700Y0000605396D01* +X0000655999Y0000605196D01* +X0000655100Y0000604796D01* +X0000654300Y0000604496D01* +X0000653299Y0000603996D01* +X0000652400Y0000603696D01* +X0000651699Y0000603396D01* +X0000651200Y0000603196D01* +X0000650799Y0000603096D01* +X0000650499Y0000602996D01* +X0000650299Y0000602996D01* +X0000650299Y0000602996D01* +X0000650100Y0000602996D01* +X0000649899Y0000603196D01* +X0000649499Y0000603496D01* +X0000648899Y0000603796D01* +X0000648300Y0000604196D01* +X0000647599Y0000604696D01* +X0000646799Y0000605196D01* +X0000646000Y0000605796D01* +X0000645300Y0000606296D01* +X0000644499Y0000606896D01* +X0000643600Y0000607396D01* +X0000642899Y0000607896D01* +X0000642200Y0000608396D01* +X0000641599Y0000608796D01* +X0000641100Y0000609096D01* +X0000640799Y0000609396D01* +X0000640499Y0000609496D01* +X0000640400Y0000609596D01* +X0000640199Y0000609596D01* +X0000640000Y0000609496D01* +X0000639899Y0000609396D01* +X0000639599Y0000609196D01* +X0000639300Y0000608796D01* +X0000638900Y0000608396D01* +X0000638299Y0000607896D01* +X0000637799Y0000607296D01* +X0000637199Y0000606696D01* +X0000636500Y0000606096D01* +X0000635799Y0000605396D01* +X0000635200Y0000604796D01* +X0000634600Y0000604096D01* +X0000633899Y0000603496D01* +X0000633399Y0000602996D01* +X0000632900Y0000602496D01* +X0000632499Y0000601996D01* +X0000632200Y0000601696D01* +X0000631999Y0000601496D01* +X0000631900Y0000601396D01* +X0000631900Y0000601096D01* +X0000631900Y0000600996D01* +X0000631900Y0000600896D01* +X0000632100Y0000600596D01* +X0000632400Y0000600196D01* +X0000632700Y0000599696D01* +X0000633099Y0000599096D01* +X0000633599Y0000598396D01* +X0000634100Y0000597696D01* +X0000634699Y0000596796D01* +X0000635100Y0000596196D01* +X0000635700Y0000595396D01* +X0000636200Y0000594596D01* +X0000636699Y0000593796D01* +X0000637199Y0000593096D01* +X0000637600Y0000592596D01* +X0000637900Y0000592096D01* +X0000638100Y0000591696D01* +X0000638299Y0000591496D01* +X0000638299Y0000591396D01* +X0000638299Y0000591396D01* +X0000638400Y0000591296D01* +X0000638400Y0000591196D01* +X0000638299Y0000591096D01* +X0000638299Y0000590896D01* +X0000638200Y0000590596D01* +X0000638100Y0000590296D01* +X0000637900Y0000589896D01* +X0000637699Y0000589296D01* +X0000637400Y0000588696D01* +X0000637000Y0000587896D01* +X0000636599Y0000586896D01* +X0000636500Y0000586696D01* +X0000636200Y0000585796D01* +X0000635799Y0000584996D01* +X0000635499Y0000584296D01* +X0000635200Y0000583696D01* +X0000634999Y0000583296D01* +X0000634799Y0000582896D01* +X0000634699Y0000582796D01* +X0000634699Y0000582796D01* +X0000634600Y0000582696D01* +X0000634499Y0000582696D01* +X0000634199Y0000582596D01* +X0000633899Y0000582496D01* +X0000633500Y0000582396D01* +X0000632900Y0000582296D01* +X0000632100Y0000582196D01* +X0000631300Y0000581996D01* +X0000630200Y0000581796D01* +X0000630099Y0000581796D01* +X0000628799Y0000581496D01* +X0000627699Y0000581296D01* +X0000626700Y0000581096D01* +X0000625900Y0000580996D01* +X0000625300Y0000580896D01* +X0000624699Y0000580796D01* +X0000624300Y0000580696D01* +X0000624000Y0000580596D01* +X0000623700Y0000580496D01* +X0000623500Y0000580496D01* +X0000623400Y0000580396D01* +X0000623299Y0000580396D01* +X0000623299Y0000580396D01* +X0000623200Y0000580296D01* +X0000623200Y0000580296D01* +X0000623200Y0000580196D01* +X0000623200Y0000580096D01* +X0000623100Y0000579996D01* +X0000623100Y0000579896D01* +X0000623100Y0000579596D01* +X0000623100Y0000579296D01* +X0000623100Y0000578896D01* +X0000623100Y0000578396D01* +X0000622999Y0000577696D01* +X0000622999Y0000576896D01* +X0000622999Y0000575996D01* +X0000622999Y0000574896D01* +X0000622999Y0000574496D01* +X0000622999Y0000568896D01* +X0000622999Y0000568896D01* +G36* +X0000580400Y0000554296D02* +X0000580400Y0000554096D01* +X0000580499Y0000553396D01* +X0000580600Y0000552896D01* +X0000580699Y0000552596D01* +X0000580799Y0000552296D01* +X0000580900Y0000552096D01* +X0000581100Y0000551996D01* +X0000581299Y0000551796D01* +X0000581500Y0000551696D01* +X0000581799Y0000551596D01* +X0000582099Y0000551496D01* +X0000582500Y0000551496D01* +X0000582899Y0000551496D01* +X0000583499Y0000551496D01* +X0000584200Y0000551496D01* +X0000585000Y0000551596D01* +X0000585899Y0000551796D01* +X0000586100Y0000551796D01* +X0000586900Y0000551896D01* +X0000587599Y0000551996D01* +X0000588200Y0000552096D01* +X0000588899Y0000552096D01* +X0000589499Y0000552196D01* +X0000590299Y0000552196D01* +X0000591200Y0000552296D01* +X0000591500Y0000552296D01* +X0000592799Y0000552296D01* +X0000593899Y0000552396D01* +X0000594800Y0000552396D01* +X0000595499Y0000552496D01* +X0000596100Y0000552496D01* +X0000596599Y0000552496D01* +X0000597000Y0000552596D01* +X0000597300Y0000552596D01* +X0000597599Y0000552596D01* +X0000597699Y0000552696D01* +X0000597899Y0000552696D01* +X0000598000Y0000552796D01* +X0000598499Y0000553096D01* +X0000598799Y0000553396D01* +X0000598900Y0000553596D01* +X0000598999Y0000554096D01* +X0000598999Y0000554596D01* +X0000598900Y0000555096D01* +X0000598799Y0000555296D01* +X0000598699Y0000555696D01* +X0000598699Y0000556096D01* +X0000598799Y0000556696D01* +X0000598900Y0000556996D01* +X0000598999Y0000557396D01* +X0000598900Y0000557696D01* +X0000598799Y0000557996D01* +X0000598499Y0000558396D01* +X0000598199Y0000558696D01* +X0000594399Y0000558796D01* +X0000592799Y0000558796D01* +X0000591300Y0000558896D01* +X0000590100Y0000558796D01* +X0000588899Y0000558796D01* +X0000588399Y0000558796D01* +X0000586199Y0000558696D01* +X0000585599Y0000558096D01* +X0000585200Y0000557796D01* +X0000584899Y0000557496D01* +X0000584500Y0000557196D01* +X0000584100Y0000556996D01* +X0000583600Y0000556696D01* +X0000582699Y0000556196D01* +X0000582000Y0000555796D01* +X0000581400Y0000555396D01* +X0000580999Y0000555096D01* +X0000580699Y0000554796D01* +X0000580499Y0000554496D01* +X0000580400Y0000554296D01* +X0000580400Y0000554296D01* +X0000580400Y0000554296D01* +G37* +X0000580400Y0000554296D02* +X0000580400Y0000554096D01* +X0000580499Y0000553396D01* +X0000580600Y0000552896D01* +X0000580699Y0000552596D01* +X0000580799Y0000552296D01* +X0000580900Y0000552096D01* +X0000581100Y0000551996D01* +X0000581299Y0000551796D01* +X0000581500Y0000551696D01* +X0000581799Y0000551596D01* +X0000582099Y0000551496D01* +X0000582500Y0000551496D01* +X0000582899Y0000551496D01* +X0000583499Y0000551496D01* +X0000584200Y0000551496D01* +X0000585000Y0000551596D01* +X0000585899Y0000551796D01* +X0000586100Y0000551796D01* +X0000586900Y0000551896D01* +X0000587599Y0000551996D01* +X0000588200Y0000552096D01* +X0000588899Y0000552096D01* +X0000589499Y0000552196D01* +X0000590299Y0000552196D01* +X0000591200Y0000552296D01* +X0000591500Y0000552296D01* +X0000592799Y0000552296D01* +X0000593899Y0000552396D01* +X0000594800Y0000552396D01* +X0000595499Y0000552496D01* +X0000596100Y0000552496D01* +X0000596599Y0000552496D01* +X0000597000Y0000552596D01* +X0000597300Y0000552596D01* +X0000597599Y0000552596D01* +X0000597699Y0000552696D01* +X0000597899Y0000552696D01* +X0000598000Y0000552796D01* +X0000598499Y0000553096D01* +X0000598799Y0000553396D01* +X0000598900Y0000553596D01* +X0000598999Y0000554096D01* +X0000598999Y0000554596D01* +X0000598900Y0000555096D01* +X0000598799Y0000555296D01* +X0000598699Y0000555696D01* +X0000598699Y0000556096D01* +X0000598799Y0000556696D01* +X0000598900Y0000556996D01* +X0000598999Y0000557396D01* +X0000598900Y0000557696D01* +X0000598799Y0000557996D01* +X0000598499Y0000558396D01* +X0000598199Y0000558696D01* +X0000594399Y0000558796D01* +X0000592799Y0000558796D01* +X0000591300Y0000558896D01* +X0000590100Y0000558796D01* +X0000588899Y0000558796D01* +X0000588399Y0000558796D01* +X0000586199Y0000558696D01* +X0000585599Y0000558096D01* +X0000585200Y0000557796D01* +X0000584899Y0000557496D01* +X0000584500Y0000557196D01* +X0000584100Y0000556996D01* +X0000583600Y0000556696D01* +X0000582699Y0000556196D01* +X0000582000Y0000555796D01* +X0000581400Y0000555396D01* +X0000580999Y0000555096D01* +X0000580699Y0000554796D01* +X0000580499Y0000554496D01* +X0000580400Y0000554296D01* +X0000580400Y0000554296D01* +G36* +X0000648899Y0000616996D02* +X0000648899Y0000616896D01* +X0000649000Y0000616996D01* +X0000649199Y0000616996D01* +X0000649499Y0000617096D01* +X0000649899Y0000617296D01* +X0000650799Y0000617696D01* +X0000650299Y0000617696D01* +X0000649999Y0000617696D01* +X0000649600Y0000617496D01* +X0000649199Y0000617396D01* +X0000649000Y0000617196D01* +X0000648899Y0000617096D01* +X0000648899Y0000616996D01* +X0000648899Y0000616996D01* +X0000648899Y0000616996D01* +G37* +X0000648899Y0000616996D02* +X0000648899Y0000616896D01* +X0000649000Y0000616996D01* +X0000649199Y0000616996D01* +X0000649499Y0000617096D01* +X0000649899Y0000617296D01* +X0000650799Y0000617696D01* +X0000650299Y0000617696D01* +X0000649999Y0000617696D01* +X0000649600Y0000617496D01* +X0000649199Y0000617396D01* +X0000649000Y0000617196D01* +X0000648899Y0000617096D01* +X0000648899Y0000616996D01* +X0000648899Y0000616996D01* +G36* +X0000643100Y0000629896D02* +X0000643199Y0000629496D01* +X0000643400Y0000629196D01* +X0000643499Y0000629096D01* +X0000643799Y0000628896D01* +X0000644100Y0000628396D01* +X0000644299Y0000627896D01* +X0000644400Y0000627396D01* +X0000644499Y0000626796D01* +X0000644400Y0000626596D01* +X0000644400Y0000626296D01* +X0000644299Y0000625896D01* +X0000644200Y0000625396D01* +X0000644100Y0000625196D01* +X0000643999Y0000624796D01* +X0000643999Y0000624396D01* +X0000643900Y0000624096D01* +X0000643900Y0000623996D01* +X0000643999Y0000623596D01* +X0000644299Y0000623296D01* +X0000644599Y0000623096D01* +X0000644900Y0000622996D01* +X0000645399Y0000622796D01* +X0000646000Y0000622696D01* +X0000646600Y0000622596D01* +X0000647100Y0000622496D01* +X0000647400Y0000622496D01* +X0000648000Y0000622396D01* +X0000648699Y0000622896D01* +X0000649000Y0000623196D01* +X0000649199Y0000623396D01* +X0000649400Y0000623696D01* +X0000649600Y0000623996D01* +X0000649799Y0000624396D01* +X0000650100Y0000625196D01* +X0000650400Y0000625996D01* +X0000650499Y0000626596D01* +X0000650599Y0000627196D01* +X0000650599Y0000627496D01* +X0000650499Y0000627896D01* +X0000650499Y0000628296D01* +X0000650400Y0000628396D01* +X0000650200Y0000628696D01* +X0000649899Y0000629096D01* +X0000649499Y0000629596D01* +X0000649100Y0000630096D01* +X0000648599Y0000630496D01* +X0000648200Y0000630896D01* +X0000648000Y0000631096D01* +X0000647599Y0000631396D01* +X0000647299Y0000631796D01* +X0000646900Y0000632096D01* +X0000646900Y0000632196D01* +X0000646400Y0000632596D01* +X0000645899Y0000632896D01* +X0000645300Y0000632996D01* +X0000644799Y0000632896D01* +X0000644400Y0000632696D01* +X0000644100Y0000632496D01* +X0000643799Y0000632296D01* +X0000643600Y0000631996D01* +X0000643499Y0000631796D01* +X0000643199Y0000630996D01* +X0000643100Y0000630396D01* +X0000643100Y0000629896D01* +X0000643100Y0000629896D01* +X0000643100Y0000629896D01* +G37* +X0000643100Y0000629896D02* +X0000643199Y0000629496D01* +X0000643400Y0000629196D01* +X0000643499Y0000629096D01* +X0000643799Y0000628896D01* +X0000644100Y0000628396D01* +X0000644299Y0000627896D01* +X0000644400Y0000627396D01* +X0000644499Y0000626796D01* +X0000644400Y0000626596D01* +X0000644400Y0000626296D01* +X0000644299Y0000625896D01* +X0000644200Y0000625396D01* +X0000644100Y0000625196D01* +X0000643999Y0000624796D01* +X0000643999Y0000624396D01* +X0000643900Y0000624096D01* +X0000643900Y0000623996D01* +X0000643999Y0000623596D01* +X0000644299Y0000623296D01* +X0000644599Y0000623096D01* +X0000644900Y0000622996D01* +X0000645399Y0000622796D01* +X0000646000Y0000622696D01* +X0000646600Y0000622596D01* +X0000647100Y0000622496D01* +X0000647400Y0000622496D01* +X0000648000Y0000622396D01* +X0000648699Y0000622896D01* +X0000649000Y0000623196D01* +X0000649199Y0000623396D01* +X0000649400Y0000623696D01* +X0000649600Y0000623996D01* +X0000649799Y0000624396D01* +X0000650100Y0000625196D01* +X0000650400Y0000625996D01* +X0000650499Y0000626596D01* +X0000650599Y0000627196D01* +X0000650599Y0000627496D01* +X0000650499Y0000627896D01* +X0000650499Y0000628296D01* +X0000650400Y0000628396D01* +X0000650200Y0000628696D01* +X0000649899Y0000629096D01* +X0000649499Y0000629596D01* +X0000649100Y0000630096D01* +X0000648599Y0000630496D01* +X0000648200Y0000630896D01* +X0000648000Y0000631096D01* +X0000647599Y0000631396D01* +X0000647299Y0000631796D01* +X0000646900Y0000632096D01* +X0000646900Y0000632196D01* +X0000646400Y0000632596D01* +X0000645899Y0000632896D01* +X0000645300Y0000632996D01* +X0000644799Y0000632896D01* +X0000644400Y0000632696D01* +X0000644100Y0000632496D01* +X0000643799Y0000632296D01* +X0000643600Y0000631996D01* +X0000643499Y0000631796D01* +X0000643199Y0000630996D01* +X0000643100Y0000630396D01* +X0000643100Y0000629896D01* +X0000643100Y0000629896D01* +G36* +X0000693700Y0000645896D02* +X0000693700Y0000645596D01* +X0000693800Y0000645396D01* +X0000693800Y0000645396D01* +X0000693899Y0000645296D01* +X0000694000Y0000645096D01* +X0000694199Y0000644796D01* +X0000694199Y0000644696D01* +X0000694399Y0000644396D01* +X0000694499Y0000644096D01* +X0000694699Y0000643996D01* +X0000694900Y0000643896D01* +X0000695200Y0000643796D01* +X0000695700Y0000643696D01* +X0000696200Y0000643596D01* +X0000696800Y0000643496D01* +X0000697399Y0000643496D01* +X0000697999Y0000643496D01* +X0000698499Y0000643496D01* +X0000698900Y0000643496D01* +X0000699099Y0000643496D01* +X0000699599Y0000643696D01* +X0000700000Y0000643996D01* +X0000700100Y0000644196D01* +X0000700199Y0000644396D01* +X0000700300Y0000644896D01* +X0000700199Y0000645496D01* +X0000700100Y0000646196D01* +X0000700000Y0000647096D01* +X0000699899Y0000647596D01* +X0000699800Y0000647996D01* +X0000699599Y0000648296D01* +X0000699399Y0000648496D01* +X0000699099Y0000648696D01* +X0000698799Y0000648796D01* +X0000698299Y0000648996D01* +X0000697800Y0000649096D01* +X0000697199Y0000649196D01* +X0000696700Y0000649196D01* +X0000696200Y0000649196D01* +X0000696000Y0000649196D01* +X0000695400Y0000648996D01* +X0000694900Y0000648596D01* +X0000694399Y0000648096D01* +X0000694000Y0000647496D01* +X0000693899Y0000647096D01* +X0000693800Y0000646696D01* +X0000693700Y0000646296D01* +X0000693700Y0000645896D01* +X0000693700Y0000645896D01* +X0000693700Y0000645896D01* +G37* +X0000693700Y0000645896D02* +X0000693700Y0000645596D01* +X0000693800Y0000645396D01* +X0000693800Y0000645396D01* +X0000693899Y0000645296D01* +X0000694000Y0000645096D01* +X0000694199Y0000644796D01* +X0000694199Y0000644696D01* +X0000694399Y0000644396D01* +X0000694499Y0000644096D01* +X0000694699Y0000643996D01* +X0000694900Y0000643896D01* +X0000695200Y0000643796D01* +X0000695700Y0000643696D01* +X0000696200Y0000643596D01* +X0000696800Y0000643496D01* +X0000697399Y0000643496D01* +X0000697999Y0000643496D01* +X0000698499Y0000643496D01* +X0000698900Y0000643496D01* +X0000699099Y0000643496D01* +X0000699599Y0000643696D01* +X0000700000Y0000643996D01* +X0000700100Y0000644196D01* +X0000700199Y0000644396D01* +X0000700300Y0000644896D01* +X0000700199Y0000645496D01* +X0000700100Y0000646196D01* +X0000700000Y0000647096D01* +X0000699899Y0000647596D01* +X0000699800Y0000647996D01* +X0000699599Y0000648296D01* +X0000699399Y0000648496D01* +X0000699099Y0000648696D01* +X0000698799Y0000648796D01* +X0000698299Y0000648996D01* +X0000697800Y0000649096D01* +X0000697199Y0000649196D01* +X0000696700Y0000649196D01* +X0000696200Y0000649196D01* +X0000696000Y0000649196D01* +X0000695400Y0000648996D01* +X0000694900Y0000648596D01* +X0000694399Y0000648096D01* +X0000694000Y0000647496D01* +X0000693899Y0000647096D01* +X0000693800Y0000646696D01* +X0000693700Y0000646296D01* +X0000693700Y0000645896D01* +X0000693700Y0000645896D01* +G36* +X0000669399Y0000683096D02* +X0000669399Y0000682696D01* +X0000669599Y0000682396D01* +X0000669800Y0000682196D01* +X0000670000Y0000682096D01* +X0000670300Y0000681896D01* +X0000670600Y0000681496D01* +X0000670899Y0000680896D01* +X0000671100Y0000680196D01* +X0000671200Y0000679796D01* +X0000671400Y0000678996D01* +X0000670999Y0000677696D01* +X0000670800Y0000676796D01* +X0000670699Y0000675996D01* +X0000670600Y0000675396D01* +X0000670499Y0000674996D01* +X0000670600Y0000674796D01* +X0000670699Y0000674496D01* +X0000670999Y0000674196D01* +X0000671200Y0000673896D01* +X0000671400Y0000673796D01* +X0000671700Y0000673696D01* +X0000672099Y0000673596D01* +X0000672599Y0000673496D01* +X0000673199Y0000673396D01* +X0000673699Y0000673196D01* +X0000674200Y0000673096D01* +X0000674599Y0000673096D01* +X0000674599Y0000673096D01* +X0000675099Y0000672996D01* +X0000675599Y0000672996D01* +X0000675899Y0000672996D01* +X0000676199Y0000673096D01* +X0000676499Y0000673296D01* +X0000676600Y0000673296D01* +X0000677100Y0000673696D01* +X0000677499Y0000673996D01* +X0000677799Y0000674296D01* +X0000678000Y0000674696D01* +X0000678300Y0000675196D01* +X0000678399Y0000675596D01* +X0000678899Y0000676596D01* +X0000679199Y0000677496D01* +X0000679400Y0000678296D01* +X0000679600Y0000679096D01* +X0000679600Y0000679696D01* +X0000679600Y0000680296D01* +X0000679400Y0000680796D01* +X0000679400Y0000681096D01* +X0000679199Y0000681296D01* +X0000679100Y0000681596D01* +X0000678899Y0000681896D01* +X0000678599Y0000682196D01* +X0000678200Y0000682696D01* +X0000677799Y0000683096D01* +X0000677299Y0000683596D01* +X0000676999Y0000683996D01* +X0000676600Y0000684296D01* +X0000676499Y0000684396D01* +X0000675899Y0000684896D01* +X0000675500Y0000685296D01* +X0000675099Y0000685596D01* +X0000675000Y0000685896D01* +X0000674700Y0000686096D01* +X0000674499Y0000686396D01* +X0000674499Y0000686396D01* +X0000673900Y0000686896D01* +X0000673400Y0000687296D01* +X0000672699Y0000687496D01* +X0000672099Y0000687496D01* +X0000671499Y0000687296D01* +X0000671299Y0000687196D01* +X0000670899Y0000686896D01* +X0000670600Y0000686696D01* +X0000670300Y0000686496D01* +X0000670199Y0000686196D01* +X0000670000Y0000685696D01* +X0000669800Y0000685396D01* +X0000669599Y0000684796D01* +X0000669500Y0000684296D01* +X0000669399Y0000683896D01* +X0000669399Y0000683596D01* +X0000669399Y0000683096D01* +X0000669399Y0000683096D01* +X0000669399Y0000683096D01* +G37* +X0000669399Y0000683096D02* +X0000669399Y0000682696D01* +X0000669599Y0000682396D01* +X0000669800Y0000682196D01* +X0000670000Y0000682096D01* +X0000670300Y0000681896D01* +X0000670600Y0000681496D01* +X0000670899Y0000680896D01* +X0000671100Y0000680196D01* +X0000671200Y0000679796D01* +X0000671400Y0000678996D01* +X0000670999Y0000677696D01* +X0000670800Y0000676796D01* +X0000670699Y0000675996D01* +X0000670600Y0000675396D01* +X0000670499Y0000674996D01* +X0000670600Y0000674796D01* +X0000670699Y0000674496D01* +X0000670999Y0000674196D01* +X0000671200Y0000673896D01* +X0000671400Y0000673796D01* +X0000671700Y0000673696D01* +X0000672099Y0000673596D01* +X0000672599Y0000673496D01* +X0000673199Y0000673396D01* +X0000673699Y0000673196D01* +X0000674200Y0000673096D01* +X0000674599Y0000673096D01* +X0000674599Y0000673096D01* +X0000675099Y0000672996D01* +X0000675599Y0000672996D01* +X0000675899Y0000672996D01* +X0000676199Y0000673096D01* +X0000676499Y0000673296D01* +X0000676600Y0000673296D01* +X0000677100Y0000673696D01* +X0000677499Y0000673996D01* +X0000677799Y0000674296D01* +X0000678000Y0000674696D01* +X0000678300Y0000675196D01* +X0000678399Y0000675596D01* +X0000678899Y0000676596D01* +X0000679199Y0000677496D01* +X0000679400Y0000678296D01* +X0000679600Y0000679096D01* +X0000679600Y0000679696D01* +X0000679600Y0000680296D01* +X0000679400Y0000680796D01* +X0000679400Y0000681096D01* +X0000679199Y0000681296D01* +X0000679100Y0000681596D01* +X0000678899Y0000681896D01* +X0000678599Y0000682196D01* +X0000678200Y0000682696D01* +X0000677799Y0000683096D01* +X0000677299Y0000683596D01* +X0000676999Y0000683996D01* +X0000676600Y0000684296D01* +X0000676499Y0000684396D01* +X0000675899Y0000684896D01* +X0000675500Y0000685296D01* +X0000675099Y0000685596D01* +X0000675000Y0000685896D01* +X0000674700Y0000686096D01* +X0000674499Y0000686396D01* +X0000674499Y0000686396D01* +X0000673900Y0000686896D01* +X0000673400Y0000687296D01* +X0000672699Y0000687496D01* +X0000672099Y0000687496D01* +X0000671499Y0000687296D01* +X0000671299Y0000687196D01* +X0000670899Y0000686896D01* +X0000670600Y0000686696D01* +X0000670300Y0000686496D01* +X0000670199Y0000686196D01* +X0000670000Y0000685696D01* +X0000669800Y0000685396D01* +X0000669599Y0000684796D01* +X0000669500Y0000684296D01* +X0000669399Y0000683896D01* +X0000669399Y0000683596D01* +X0000669399Y0000683096D01* +X0000669399Y0000683096D01* +G04 next file* +G04 #@! TF.FileFunction,Legend,Bot* +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* +G01G01* +G04 APERTURE LIST* +G04 APERTURE END LIST* +D26* +D27* +X0000692937Y0001166374D02* +X0000694504Y0001165150D01* +X0000698863Y0001164268D01* +X0000701654Y0001164611D01* +X0000705669Y0001166521D01* +X0000708118Y0001169655D01* +X0000709170Y0001172617D01* +X0000709881Y0001178371D01* +X0000709367Y0001182558D01* +X0000707286Y0001187969D01* +X0000705547Y0001190589D01* +X0000702413Y0001193037D01* +X0000698055Y0001193919D01* +X0000695264Y0001193576D01* +X0000691249Y0001191666D01* +X0000690024Y0001190099D01* +X0000663630Y0001162776D02* +X0000665197Y0001161551D01* +X0000669555Y0001160670D01* +X0000672346Y0001161013D01* +X0000676362Y0001162922D01* +X0000678810Y0001166056D01* +X0000679863Y0001169019D01* +X0000680573Y0001174772D01* +X0000680059Y0001178959D01* +X0000677978Y0001184370D01* +X0000676240Y0001186990D01* +X0000673106Y0001189439D01* +X0000668748Y0001190320D01* +X0000665957Y0001189977D01* +X0000661941Y0001188068D01* +X0000660717Y0001186501D01* +X0000650041Y0001169607D02* +X0000627712Y0001166865D01* +X0000603473Y0001168139D02* +X0000599457Y0001166229D01* +X0000598233Y0001164662D01* +X0000597180Y0001161700D01* +X0000597694Y0001157513D01* +X0000599433Y0001154893D01* +X0000601000Y0001153669D01* +X0000603962Y0001152616D01* +X0000615127Y0001153987D01* +X0000611528Y0001183294D01* +X0000601759Y0001182095D01* +X0000599139Y0001180357D01* +X0000597915Y0001178790D01* +X0000596862Y0001175827D01* +X0000597205Y0001173036D01* +X0000598943Y0001170416D01* +X0000600510Y0001169192D01* +X0000603473Y0001168139D01* +X0000613242Y0001169338D01* +X0000578523Y0001163659D02* +X0000580237Y0001149703D01* +X0000586408Y0001180210D02* +X0000578523Y0001163659D01* +X0000566869Y0001177811D01* +X0000559328Y0001158469D02* +X0000536998Y0001155727D01* +X0000525638Y0001144416D02* +X0000521622Y0001142506D01* +X0000514644Y0001141649D01* +X0000511682Y0001142702D01* +X0000510115Y0001143926D01* +X0000508376Y0001146546D01* +X0000508034Y0001149337D01* +X0000509087Y0001152300D01* +X0000510311Y0001153867D01* +X0000512931Y0001155605D01* +X0000518342Y0001157686D01* +X0000520961Y0001159424D01* +X0000522186Y0001160991D01* +X0000523239Y0001163954D01* +X0000522896Y0001166745D01* +X0000521158Y0001169365D01* +X0000519591Y0001170589D01* +X0000516628Y0001171642D01* +X0000509650Y0001170785D01* +X0000505635Y0001168876D01* +X0000496869Y0001147966D02* +X0000482913Y0001146253D01* +X0000500688Y0001139936D02* +X0000487321Y0001168044D01* +X0000481150Y0001137537D01* +X0000448244Y0001163246D02* +X0000430101Y0001161018D01* +X0000441241Y0001151053D01* +X0000437055Y0001150539D01* +X0000434435Y0001148800D01* +X0000433210Y0001147233D01* +X0000432157Y0001144271D01* +X0000433014Y0001137293D01* +X0000434753Y0001134673D01* +X0000436320Y0001133449D01* +X0000439282Y0001132396D01* +X0000447656Y0001133424D01* +X0000450276Y0001135162D01* +X0000451500Y0001136729D01* +X0000420797Y0001132959D02* +X0000419572Y0001131392D01* +X0000421139Y0001130168D01* +X0000422364Y0001131735D01* +X0000420797Y0001132959D01* +X0000421139Y0001130168D01* +X0000398003Y0001157077D02* +X0000395211Y0001156734D01* +X0000392592Y0001154996D01* +X0000391367Y0001153429D01* +X0000390314Y0001150466D01* +X0000389604Y0001144712D01* +X0000390461Y0001137735D01* +X0000392542Y0001132323D01* +X0000394280Y0001129704D01* +X0000395847Y0001128479D01* +X0000398810Y0001127427D01* +X0000401601Y0001127769D01* +X0000404221Y0001129508D01* +X0000405445Y0001131075D01* +X0000406498Y0001134037D01* +X0000407208Y0001139791D01* +X0000406351Y0001146769D01* +X0000404270Y0001152180D01* +X0000402532Y0001154800D01* +X0000400965Y0001156024D01* +X0000398003Y0001157077D01* +D28* +X0000963907Y0001330802D02* +X0000944554Y0001328425D01* +X0000955418Y0001319937D02* +X0000953042Y0001339290D01* +X0000920342Y0001315631D02* +X0000934857Y0001317413D01* +X0000927600Y0001316522D02* +X0000924481Y0001341921D01* +X0000927345Y0001338590D01* +X0000930062Y0001336468D01* +X0000932629Y0001335555D01* +X0000901500Y0001339100D02* +X0000899081Y0001338803D01* +X0000896811Y0001337296D01* +X0000895750Y0001335938D01* +X0000894837Y0001333371D01* +X0000894222Y0001328384D01* +X0000894964Y0001322336D01* +X0000896768Y0001317647D01* +X0000898274Y0001315376D01* +X0000899632Y0001314315D01* +X0000902200Y0001313403D01* +X0000904619Y0001313700D01* +X0000906889Y0001315206D01* +X0000907950Y0001316565D01* +X0000908863Y0001319132D01* +X0000909478Y0001324119D01* +X0000908736Y0001330166D01* +X0000906932Y0001334856D01* +X0000905426Y0001337126D01* +X0000904068Y0001338187D01* +X0000901500Y0001339100D01* +X0000862286Y0001308502D02* +X0000864556Y0001310009D01* +X0000865617Y0001311367D01* +X0000866530Y0001313934D01* +X0000865639Y0001321191D01* +X0000864132Y0001323462D01* +X0000862774Y0001324523D01* +X0000860207Y0001325435D01* +X0000856578Y0001324990D01* +X0000854308Y0001323483D01* +X0000853247Y0001322125D01* +X0000852334Y0001319558D01* +X0000853225Y0001312301D01* +X0000854732Y0001310030D01* +X0000856090Y0001308969D01* +X0000858657Y0001308057D01* +X0000862286Y0001308502D01* +X0000840854Y0001323059D02* +X0000842934Y0001306126D01* +X0000841151Y0001320640D02* +X0000839793Y0001321701D01* +X0000837226Y0001322614D01* +X0000833597Y0001322168D01* +X0000831327Y0001320662D01* +X0000830414Y0001318094D01* +X0000832048Y0001304789D01* +X0000798521Y0001317861D02* +X0000800601Y0001300928D01* +X0000798818Y0001315442D02* +X0000797460Y0001316503D01* +X0000794893Y0001317416D01* +X0000791264Y0001316970D01* +X0000788994Y0001315464D01* +X0000788081Y0001312896D01* +X0000789715Y0001299591D01* +X0000777620Y0001298106D02* +X0000775541Y0001315040D01* +X0000774501Y0001323506D02* +X0000775859Y0001322445D01* +X0000774798Y0001321087D01* +X0000773440Y0001322148D01* +X0000774501Y0001323506D01* +X0000774798Y0001321087D01* +X0000752560Y0001312218D02* +X0000755085Y0001291656D01* +X0000756591Y0001289386D01* +X0000757949Y0001288325D01* +X0000760517Y0001287412D01* +X0000764145Y0001287858D01* +X0000766416Y0001289364D01* +X0000754491Y0001296494D02* +X0000757058Y0001295582D01* +X0000761896Y0001296176D01* +X0000764167Y0001297682D01* +X0000765228Y0001299040D01* +X0000766140Y0001301608D01* +X0000765249Y0001308865D01* +X0000763743Y0001311135D01* +X0000762385Y0001312196D01* +X0000759817Y0001313109D01* +X0000754979Y0001312515D01* +X0000752708Y0001311008D01* +X0000742544Y0001293800D02* +X0000739425Y0001319199D01* +X0000731658Y0001292463D02* +X0000730025Y0001305768D01* +X0000730937Y0001308335D01* +X0000733208Y0001309842D01* +X0000736836Y0001310287D01* +X0000739404Y0001309375D01* +X0000740762Y0001308314D01* +X0000721113Y0001308357D02* +X0000711436Y0001307169D01* +X0000716444Y0001316378D02* +X0000719118Y0001294607D01* +X0000718205Y0001292039D01* +X0000715935Y0001290532D01* +X0000713516Y0001290235D01* +X0000686037Y0001304050D02* +X0000682068Y0001286374D01* +X0000673941Y0001302565D01* +X0000666344Y0001284443D02* +X0000664265Y0001301377D01* +X0000663226Y0001309843D02* +X0000664584Y0001308782D01* +X0000663523Y0001307424D01* +X0000662165Y0001308485D01* +X0000663226Y0001309843D01* +X0000663523Y0001307424D01* +X0000655310Y0001284316D02* +X0000653040Y0001282810D01* +X0000648202Y0001282216D01* +X0000645634Y0001283128D01* +X0000644128Y0001285399D01* +X0000643979Y0001286608D01* +X0000644892Y0001289176D01* +X0000647162Y0001290682D01* +X0000650791Y0001291128D01* +X0000653061Y0001292635D01* +X0000653974Y0001295202D01* +X0000653825Y0001296412D01* +X0000652319Y0001298682D01* +X0000649751Y0001299595D01* +X0000646123Y0001299149D01* +X0000643852Y0001297643D01* +X0000633688Y0001280434D02* +X0000631608Y0001297367D01* +X0000630569Y0001305834D02* +X0000631927Y0001304773D01* +X0000630866Y0001303415D01* +X0000629508Y0001304476D01* +X0000630569Y0001305834D01* +X0000630866Y0001303415D01* +X0000617964Y0001278503D02* +X0000620234Y0001280010D01* +X0000621295Y0001281368D01* +X0000622208Y0001283935D01* +X0000621317Y0001291192D01* +X0000619810Y0001293463D01* +X0000618452Y0001294524D01* +X0000615885Y0001295436D01* +X0000612256Y0001294991D01* +X0000609986Y0001293484D01* +X0000608925Y0001292126D01* +X0000608012Y0001289559D01* +X0000608903Y0001282302D01* +X0000610410Y0001280031D01* +X0000611768Y0001278970D01* +X0000614335Y0001278058D01* +X0000617964Y0001278503D01* +X0000596533Y0001293060D02* +X0000598612Y0001276127D01* +X0000596830Y0001290641D02* +X0000595472Y0001291702D01* +X0000592904Y0001292615D01* +X0000589276Y0001292169D01* +X0000587005Y0001290663D01* +X0000586092Y0001288095D01* +X0000587726Y0001274790D01* +D27* +X0000973239Y0001814230D02* +X0000971009Y0001839724D01* +X0000962313Y0001813275D02* +X0000961145Y0001826628D01* +X0000962147Y0001829162D01* +X0000964468Y0001830589D01* +X0000968110Y0001830907D01* +X0000970644Y0001829906D01* +X0000971965Y0001828798D01* +X0000952329Y0001829527D02* +X0000942617Y0001828677D01* +X0000947943Y0001837706D02* +X0000949855Y0001815854D01* +X0000948854Y0001813320D01* +X0000946532Y0001811894D01* +X0000944104Y0001811682D01* +X0000937761Y0001828252D02* +X0000928050Y0001827402D01* +X0000933376Y0001836431D02* +X0000935288Y0001814580D01* +X0000934286Y0001812046D01* +X0000931964Y0001810619D01* +X0000929536Y0001810407D01* +X0000919552Y0001826659D02* +X0000921782Y0001801166D01* +X0000919658Y0001825445D02* +X0000917124Y0001826447D01* +X0000912268Y0001826022D01* +X0000909946Y0001824595D01* +X0000908839Y0001823275D01* +X0000907837Y0001820741D01* +X0000908474Y0001813457D01* +X0000909901Y0001811136D01* +X0000911221Y0001810028D01* +X0000913755Y0001809026D01* +X0000918611Y0001809451D01* +X0000920932Y0001810878D01* +X0000899081Y0001808966D02* +X0000896760Y0001807539D01* +X0000891904Y0001807115D01* +X0000889370Y0001808116D01* +X0000887943Y0001810438D01* +X0000887837Y0001811652D01* +X0000888838Y0001814186D01* +X0000891160Y0001815612D01* +X0000894802Y0001815931D01* +X0000897124Y0001817357D01* +X0000898125Y0001819891D01* +X0000898019Y0001821105D01* +X0000896593Y0001823427D01* +X0000894059Y0001824429D01* +X0000890417Y0001824110D01* +X0000888095Y0001822684D01* +X0000877124Y0001808268D02* +X0000876016Y0001806948D01* +X0000877336Y0001805840D01* +X0000878444Y0001807160D01* +X0000877124Y0001808268D01* +X0000877336Y0001805840D01* +X0000875955Y0001821622D02* +X0000874848Y0001820301D01* +X0000876168Y0001819194D01* +X0000877276Y0001820514D01* +X0000875955Y0001821622D01* +X0000876168Y0001819194D01* +X0000844651Y0001829892D02* +X0000869369Y0001799027D01* +X0000817943Y0001827555D02* +X0000842662Y0001796690D01* +X0000799370Y0001816144D02* +X0000801175Y0001795507D01* +X0000802602Y0001793185D01* +X0000803922Y0001792078D01* +X0000806456Y0001791076D01* +X0000810098Y0001791395D01* +X0000812420Y0001792821D01* +X0000800750Y0001800363D02* +X0000803285Y0001799361D01* +X0000808140Y0001799786D01* +X0000810462Y0001801213D01* +X0000811570Y0001802533D01* +X0000812571Y0001805067D01* +X0000811934Y0001812351D01* +X0000810508Y0001814672D01* +X0000809188Y0001815780D01* +X0000806653Y0001816782D01* +X0000801798Y0001816357D01* +X0000799476Y0001814930D01* +X0000788717Y0001798087D02* +X0000787230Y0001815082D01* +X0000786487Y0001823580D02* +X0000787807Y0001822472D01* +X0000786699Y0001821152D01* +X0000785379Y0001822260D01* +X0000786487Y0001823580D01* +X0000786699Y0001821152D01* +X0000778732Y0001814339D02* +X0000769021Y0001813489D01* +X0000774347Y0001822518D02* +X0000776259Y0001800667D01* +X0000775257Y0001798133D01* +X0000772936Y0001796706D01* +X0000770508Y0001796494D01* +X0000762010Y0001795750D02* +X0000759780Y0001821244D01* +X0000751084Y0001794794D02* +X0000749916Y0001808148D01* +X0000750917Y0001810682D01* +X0000753239Y0001812109D01* +X0000756881Y0001812427D01* +X0000759415Y0001811426D01* +X0000760735Y0001810318D01* +X0000726532Y0001809772D02* +X0000728019Y0001792777D01* +X0000737458Y0001810728D02* +X0000738626Y0001797374D01* +X0000737624Y0001794840D01* +X0000735303Y0001793414D01* +X0000731661Y0001793095D01* +X0000729127Y0001794097D01* +X0000727807Y0001795204D01* +X0000715879Y0001791714D02* +X0000713649Y0001817208D01* +X0000714499Y0001807496D02* +X0000711965Y0001808497D01* +X0000707109Y0001808073D01* +X0000704787Y0001806646D01* +X0000703679Y0001805326D01* +X0000702678Y0001802792D01* +X0000703315Y0001795508D01* +X0000704741Y0001793186D01* +X0000706062Y0001792079D01* +X0000708596Y0001791077D01* +X0000713451Y0001791502D01* +X0000715773Y0001792928D01* +X0000692602Y0001792124D02* +X0000691494Y0001790804D01* +X0000692814Y0001789697D01* +X0000693922Y0001791017D01* +X0000692602Y0001792124D01* +X0000692814Y0001789697D01* +X0000669643Y0001788893D02* +X0000672177Y0001787891D01* +X0000677033Y0001788316D01* +X0000679354Y0001789742D01* +X0000680462Y0001791062D01* +X0000681464Y0001793597D01* +X0000680826Y0001800880D01* +X0000679400Y0001803202D01* +X0000678080Y0001804310D01* +X0000675546Y0001805311D01* +X0000670690Y0001804886D01* +X0000668368Y0001803460D01* +X0000655181Y0001786404D02* +X0000657503Y0001787830D01* +X0000658611Y0001789151D01* +X0000659612Y0001791685D01* +X0000658975Y0001798969D01* +X0000657549Y0001801290D01* +X0000656229Y0001802398D01* +X0000653694Y0001803400D01* +X0000650053Y0001803081D01* +X0000647731Y0001801655D01* +X0000646623Y0001800334D01* +X0000645622Y0001797800D01* +X0000646259Y0001790516D01* +X0000647685Y0001788195D01* +X0000649005Y0001787087D01* +X0000651539Y0001786085D01* +X0000655181Y0001786404D01* +X0000635758Y0001784705D02* +X0000634271Y0001801700D01* +X0000634483Y0001799272D02* +X0000633163Y0001800380D01* +X0000630629Y0001801382D01* +X0000626987Y0001801063D01* +X0000624666Y0001799637D01* +X0000623664Y0001797102D01* +X0000624832Y0001783749D01* +X0000623664Y0001797102D02* +X0000622238Y0001799424D01* +X0000619704Y0001800426D01* +X0000616062Y0001800107D01* +X0000613740Y0001798681D01* +X0000612738Y0001796147D01* +X0000613907Y0001782793D01* +X0000581221Y0001806845D02* +X0000605940Y0001775980D01* +X0000562920Y0001778332D02* +X0000575060Y0001779394D01* +X0000572830Y0001804888D01* +X0000550781Y0001777270D02* +X0000553103Y0001778697D01* +X0000554210Y0001780017D01* +X0000555212Y0001782551D01* +X0000554575Y0001789835D01* +X0000553148Y0001792156D01* +X0000551828Y0001793264D01* +X0000549294Y0001794266D01* +X0000545652Y0001793947D01* +X0000543330Y0001792521D01* +X0000542223Y0001791200D01* +X0000541221Y0001788666D01* +X0000541858Y0001781383D01* +X0000543285Y0001779061D01* +X0000544605Y0001777953D01* +X0000547139Y0001776952D01* +X0000550781Y0001777270D01* +X0000529870Y0001792566D02* +X0000531357Y0001775571D01* +X0000530083Y0001790138D02* +X0000528763Y0001791246D01* +X0000526229Y0001792248D01* +X0000522587Y0001791929D01* +X0000520265Y0001790503D01* +X0000519263Y0001787969D01* +X0000520432Y0001774615D01* +X0000495880Y0001789593D02* +X0000497685Y0001768955D01* +X0000499112Y0001766633D01* +X0000500432Y0001765526D01* +X0000502966Y0001764524D01* +X0000506608Y0001764843D01* +X0000508929Y0001766269D01* +X0000497260Y0001773811D02* +X0000499794Y0001772809D01* +X0000504650Y0001773234D01* +X0000506972Y0001774661D01* +X0000508080Y0001775981D01* +X0000509081Y0001778515D01* +X0000508444Y0001785799D01* +X0000507018Y0001788120D01* +X0000505697Y0001789228D01* +X0000503163Y0001790230D01* +X0000498308Y0001789805D01* +X0000495986Y0001788379D01* +X0000485227Y0001771535D02* +X0000482997Y0001797028D01* +X0000484059Y0001784889D02* +X0000469491Y0001783614D01* +X0000470659Y0001770260D02* +X0000468429Y0001795754D01* +X0000447594Y0001768243D02* +X0000446426Y0001781596D01* +X0000447427Y0001784130D01* +X0000449749Y0001785557D01* +X0000454605Y0001785981D01* +X0000457139Y0001784980D01* +X0000447488Y0001769457D02* +X0000450022Y0001768455D01* +X0000456092Y0001768986D01* +X0000458414Y0001770412D01* +X0000459415Y0001772947D01* +X0000459203Y0001775374D01* +X0000457776Y0001777696D01* +X0000455242Y0001778698D01* +X0000449172Y0001778167D01* +X0000446638Y0001779168D01* +X0000435455Y0001767180D02* +X0000433968Y0001784176D01* +X0000433224Y0001792674D02* +X0000434544Y0001791566D01* +X0000433437Y0001790246D01* +X0000432116Y0001791353D01* +X0000433224Y0001792674D01* +X0000433437Y0001790246D01* +X0000423315Y0001766118D02* +X0000421828Y0001783114D01* +X0000422253Y0001778258D02* +X0000420827Y0001780580D01* +X0000419506Y0001781687D01* +X0000416972Y0001782689D01* +X0000414544Y0001782477D01* +X0000397716Y0001765102D02* +X0000400250Y0001764100D01* +X0000405106Y0001764525D01* +X0000407427Y0001765952D01* +X0000408429Y0001768486D01* +X0000407579Y0001778197D01* +X0000406153Y0001780519D01* +X0000403619Y0001781521D01* +X0000398763Y0001781096D01* +X0000396441Y0001779670D01* +X0000395440Y0001777135D01* +X0000395652Y0001774707D01* +X0000408004Y0001773342D01* +X0000374757Y0001761870D02* +X0000372526Y0001787363D01* +X0000374650Y0001763084D02* +X0000377184Y0001762082D01* +X0000382040Y0001762507D01* +X0000384362Y0001763934D01* +X0000385470Y0001765254D01* +X0000386471Y0001767788D01* +X0000385834Y0001775072D01* +X0000384408Y0001777393D01* +X0000383087Y0001778501D01* +X0000380553Y0001779503D01* +X0000375698Y0001779078D01* +X0000373376Y0001777652D01* +X0000362617Y0001760808D02* +X0000360387Y0001786301D01* +X0000361449Y0001774162D02* +X0000346881Y0001772887D01* +X0000348049Y0001759534D02* +X0000345819Y0001785027D01* +X0000324984Y0001757516D02* +X0000323816Y0001770869D01* +X0000324817Y0001773403D01* +X0000327139Y0001774830D01* +X0000331995Y0001775254D01* +X0000334529Y0001774253D01* +X0000324878Y0001758730D02* +X0000327412Y0001757728D01* +X0000333482Y0001758259D01* +X0000335804Y0001759685D01* +X0000336805Y0001762220D01* +X0000336593Y0001764647D01* +X0000335166Y0001766969D01* +X0000332632Y0001767971D01* +X0000326562Y0001767440D01* +X0000324028Y0001768441D01* +X0000301813Y0001756712D02* +X0000304347Y0001755710D01* +X0000309203Y0001756135D01* +X0000311524Y0001757561D01* +X0000312632Y0001758881D01* +X0000313634Y0001761415D01* +X0000312996Y0001768699D01* +X0000311570Y0001771021D01* +X0000310250Y0001772129D01* +X0000307716Y0001773130D01* +X0000302860Y0001772705D01* +X0000300538Y0001771279D01* +X0000290993Y0001754542D02* +X0000288763Y0001780035D01* +X0000287716Y0001764041D02* +X0000281282Y0001753692D01* +X0000279795Y0001770688D02* +X0000290356Y0001761826D01* +X0000260538Y0001753101D02* +X0000263072Y0001752099D01* +X0000267928Y0001752524D01* +X0000270250Y0001753950D01* +X0000271251Y0001756484D01* +X0000270402Y0001766196D01* +X0000268975Y0001768518D01* +X0000266441Y0001769519D01* +X0000261585Y0001769094D01* +X0000259264Y0001767668D01* +X0000258262Y0001765134D01* +X0000258475Y0001762706D01* +X0000270826Y0001761340D01* +X0000248505Y0001750824D02* +X0000247018Y0001767820D01* +X0000247443Y0001762964D02* +X0000246016Y0001765286D01* +X0000244696Y0001766394D01* +X0000242162Y0001767395D01* +X0000239734Y0001767183D01* +X0000212177Y0001774558D02* +X0000236896Y0001743693D01* +X0000193876Y0001746045D02* +X0000206016Y0001747107D01* +X0000203786Y0001772600D01* +X0000185379Y0001745302D02* +X0000183892Y0001762297D01* +X0000183148Y0001770795D02* +X0000184469Y0001769687D01* +X0000183361Y0001768367D01* +X0000182041Y0001769475D01* +X0000183148Y0001770795D01* +X0000183361Y0001768367D01* +X0000171752Y0001761235D02* +X0000173983Y0001735742D01* +X0000171858Y0001760021D02* +X0000169324Y0001761023D01* +X0000164469Y0001760598D01* +X0000162147Y0001759171D01* +X0000161039Y0001757851D01* +X0000160037Y0001755317D01* +X0000160675Y0001748033D01* +X0000162101Y0001745712D01* +X0000163421Y0001744604D01* +X0000165955Y0001743602D01* +X0000170811Y0001744027D01* +X0000173133Y0001745454D01* +X0000146532Y0001741903D02* +X0000148854Y0001743329D01* +X0000149961Y0001744650D01* +X0000150963Y0001747184D01* +X0000150326Y0001754467D01* +X0000148899Y0001756789D01* +X0000147579Y0001757897D01* +X0000145045Y0001758898D01* +X0000141403Y0001758580D01* +X0000139082Y0001757153D01* +X0000137974Y0001755833D01* +X0000136972Y0001753299D01* +X0000137609Y0001746015D01* +X0000139036Y0001743694D01* +X0000140356Y0001742586D01* +X0000142890Y0001741584D01* +X0000146532Y0001741903D01* +X0000111631Y0001763315D02* +X0000113953Y0001764741D01* +X0000117595Y0001765060D01* +X0000121343Y0001764164D01* +X0000123983Y0001761949D01* +X0000125409Y0001759627D01* +X0000127048Y0001754877D01* +X0000127367Y0001751236D01* +X0000126578Y0001746273D01* +X0000125576Y0001743739D01* +X0000123361Y0001741099D01* +X0000119825Y0001739566D01* +X0000117397Y0001739354D01* +X0000113649Y0001740249D01* +X0000112329Y0001741357D01* +X0000111585Y0001749855D01* +X0000116441Y0001750280D01* +X0000089203Y0001754013D02* +X0000090690Y0001737017D01* +X0000100129Y0001754969D02* +X0000101297Y0001741615D01* +X0000100295Y0001739081D01* +X0000097974Y0001737655D01* +X0000094332Y0001737336D01* +X0000091798Y0001738338D01* +X0000090477Y0001739445D01* +X0000067625Y0001735000D02* +X0000066456Y0001748353D01* +X0000067458Y0001750887D01* +X0000069780Y0001752314D01* +X0000074635Y0001752738D01* +X0000077170Y0001751737D01* +X0000067518Y0001736213D02* +X0000070053Y0001735212D01* +X0000076122Y0001735743D01* +X0000078444Y0001737169D01* +X0000079446Y0001739703D01* +X0000079233Y0001742131D01* +X0000077807Y0001744453D01* +X0000075273Y0001745455D01* +X0000069203Y0001744924D01* +X0000066669Y0001745925D01* +X0000055485Y0001733937D02* +X0000053998Y0001750933D01* +X0000054423Y0001746077D02* +X0000052997Y0001748399D01* +X0000051676Y0001749507D01* +X0000049142Y0001750508D01* +X0000046714Y0001750296D01* +X0000028778Y0001731601D02* +X0000026547Y0001757094D01* +X0000028672Y0001732815D02* +X0000031206Y0001731813D01* +X0000036062Y0001732238D01* +X0000038383Y0001733664D01* +X0000039491Y0001734985D01* +X0000040493Y0001737519D01* +X0000039855Y0001744803D01* +X0000038429Y0001747124D01* +X0000037109Y0001748232D01* +X0000034575Y0001749234D01* +X0000029719Y0001748809D01* +X0000027397Y0001747382D01* +D29* +X0001121869Y0001686707D02* +X0001119994Y0001688582D01* +X0001116244Y0001690457D01* +X0001106870Y0001690457D01* +X0001103121Y0001688582D01* +X0001101246Y0001686707D01* +X0001099371Y0001682958D01* +X0001099371Y0001679208D01* +X0001101246Y0001673584D01* +X0001123743Y0001651087D01* +X0001099371Y0001651087D01* +X0001065626Y0001677334D02* +X0001065626Y0001651087D01* +X0001075000Y0001692332D02* +X0001084373Y0001664210D01* +X0001060001Y0001664210D01* +X0001050627Y0001690457D02* +X0001037504Y0001651087D01* +X0001024381Y0001690457D01* +X0001082499Y0001621559D02* +X0001101246Y0001621559D01* +X0001103121Y0001602812D01* +X0001101246Y0001604686D01* +X0001097497Y0001606561D01* +X0001088123Y0001606561D01* +X0001084373Y0001604686D01* +X0001082499Y0001602812D01* +X0001080624Y0001599062D01* +X0001080624Y0001589688D01* +X0001082499Y0001585939D01* +X0001084373Y0001584064D01* +X0001088123Y0001582189D01* +X0001097497Y0001582189D01* +X0001101246Y0001584064D01* +X0001103121Y0001585939D01* +X0001065626Y0001593438D02* +X0001046878Y0001593438D01* +X0001069375Y0001582189D02* +X0001056252Y0001621559D01* +X0001043128Y0001582189D01* +D27* +X0000388368Y0001719661D02* +X0000359289Y0001724788D01* +X0000357336Y0001713711D01* +X0000358232Y0001710697D01* +X0000359373Y0001709068D01* +X0000361898Y0001707195D01* +X0000366052Y0001706463D01* +X0000369066Y0001707359D01* +X0000370695Y0001708500D01* +X0000372568Y0001711025D01* +X0000374521Y0001722102D01* +X0000383241Y0001690582D02* +X0000363855Y0001694000D01* +X0000369394Y0001693023D02* +X0000366380Y0001692127D01* +X0000364751Y0001690987D01* +X0000362878Y0001688461D01* +X0000362390Y0001685692D01* +X0000376729Y0001661747D02* +X0000378602Y0001664272D01* +X0000379578Y0001669811D01* +X0000378682Y0001672825D01* +X0000376157Y0001674698D01* +X0000365079Y0001676651D01* +X0000362065Y0001675755D01* +X0000360192Y0001673229D01* +X0000359216Y0001667691D01* +X0000360112Y0001664677D01* +X0000362637Y0001662804D01* +X0000365407Y0001662316D01* +X0000370618Y0001675674D01* +X0000356774Y0001653843D02* +X0000374939Y0001643502D01* +X0000354333Y0001639996D01* +X0000368427Y0001614667D02* +X0000370300Y0001617192D01* +X0000371277Y0001622731D01* +X0000370381Y0001625745D01* +X0000367855Y0001627618D01* +X0000356778Y0001629571D01* +X0000353764Y0001628674D01* +X0000351891Y0001626149D01* +X0000350914Y0001620610D01* +X0000351811Y0001617597D01* +X0000354336Y0001615724D01* +X0000357105Y0001615235D01* +X0000362316Y0001628594D01* +X0000347984Y0001603994D02* +X0000367370Y0001600575D01* +X0000350754Y0001603505D02* +X0000349125Y0001602365D01* +X0000347252Y0001599840D01* +X0000346519Y0001595685D01* +X0000347416Y0001592672D01* +X0000349941Y0001590799D01* +X0000365173Y0001588113D01* +X0000344078Y0001581838D02* +X0000342125Y0001570761D01* +X0000333652Y0001579393D02* +X0000358577Y0001574998D01* +X0000361102Y0001573125D01* +X0000361999Y0001570112D01* +X0000361510Y0001567342D01* +X0000358661Y0001559278D02* +X0000359557Y0001556265D01* +X0000358580Y0001550726D01* +X0000356707Y0001548201D01* +X0000353694Y0001547304D01* +X0000352309Y0001547548D01* +X0000349784Y0001549421D01* +X0000348888Y0001552435D01* +X0000349620Y0001556589D01* +X0000348724Y0001559603D01* +X0000346198Y0001561476D01* +X0000344814Y0001561720D01* +X0000341800Y0001560824D01* +X0000339927Y0001558298D01* +X0000339195Y0001554144D01* +X0000340091Y0001551131D01* +X0000330161Y0001502910D02* +X0000349547Y0001499491D01* +X0000332358Y0001515372D02* +X0000347590Y0001512686D01* +X0000350115Y0001510813D01* +X0000351012Y0001507800D01* +X0000350279Y0001503646D01* +X0000348406Y0001501120D01* +X0000346777Y0001499980D01* +X0000327719Y0001489063D02* +X0000356798Y0001483935D01* +X0000329104Y0001488818D02* +X0000327231Y0001486293D01* +X0000326254Y0001480754D01* +X0000327150Y0001477741D01* +X0000328291Y0001476112D01* +X0000330816Y0001474239D01* +X0000339124Y0001472774D01* +X0000342138Y0001473670D01* +X0000343767Y0001474811D01* +X0000345640Y0001477336D01* +X0000346617Y0001482875D01* +X0000345720Y0001485889D01* +X0000319906Y0001444752D02* +X0000317952Y0001433674D01* +X0000309480Y0001442307D02* +X0000334405Y0001437912D01* +X0000336930Y0001436039D01* +X0000337827Y0001433025D01* +X0000337338Y0001430256D01* +X0000334897Y0001416409D02* +X0000334000Y0001419422D01* +X0000332860Y0001421051D01* +X0000330335Y0001422924D01* +X0000322026Y0001424389D01* +X0000319013Y0001423493D01* +X0000317384Y0001422352D01* +X0000315511Y0001419827D01* +X0000314778Y0001415673D01* +X0000315675Y0001412659D01* +X0000316815Y0001411030D01* +X0000319341Y0001409157D01* +X0000327649Y0001407692D01* +X0000330662Y0001408589D01* +X0000332291Y0001409729D01* +X0000334164Y0001412255D01* +X0000334897Y0001416409D01* +X0000309735Y0001370874D02* +X0000308838Y0001373887D01* +X0000307698Y0001375516D01* +X0000305172Y0001377389D01* +X0000303788Y0001377633D01* +X0000300774Y0001376737D01* +X0000299145Y0001375596D01* +X0000297272Y0001373071D01* +X0000296295Y0001367532D01* +X0000297192Y0001364519D01* +X0000298332Y0001362890D01* +X0000300858Y0001361017D01* +X0000302242Y0001360773D01* +X0000305256Y0001361669D01* +X0000306885Y0001362810D01* +X0000308758Y0001365335D01* +X0000309735Y0001370874D01* +X0000311608Y0001373399D01* +X0000313236Y0001374540D01* +X0000316250Y0001375436D01* +X0000321789Y0001374459D01* +X0000324314Y0001372586D01* +X0000325455Y0001370957D01* +X0000326351Y0001367944D01* +X0000325374Y0001362405D01* +X0000323501Y0001359880D01* +X0000321873Y0001358739D01* +X0000318859Y0001357843D01* +X0000313320Y0001358819D01* +X0000310795Y0001360692D01* +X0000309654Y0001362321D01* +X0000308758Y0001365335D01* +X0000292145Y0001343992D02* +X0000291656Y0001341223D01* +X0000292553Y0001338209D01* +X0000293693Y0001336580D01* +X0000296219Y0001334707D01* +X0000301513Y0001332346D01* +X0000308437Y0001331125D01* +X0000314220Y0001331533D01* +X0000317233Y0001332430D01* +X0000318862Y0001333570D01* +X0000320735Y0001336095D01* +X0000321224Y0001338865D01* +X0000320327Y0001341878D01* +X0000319187Y0001343507D01* +X0000316662Y0001345380D01* +X0000311367Y0001347742D01* +X0000304443Y0001348962D01* +X0000298660Y0001348554D01* +X0000295647Y0001347658D01* +X0000294018Y0001346517D01* +X0000292145Y0001343992D01* +X0000317561Y0001318094D02* +X0000284576Y0001301066D01* +X0000287750Y0001319067D02* +X0000288646Y0001316054D01* +X0000291171Y0001314181D01* +X0000294185Y0001315077D01* +X0000296058Y0001317602D01* +X0000295162Y0001320616D01* +X0000292636Y0001322489D01* +X0000289623Y0001321593D01* +X0000287750Y0001319067D01* +X0000312514Y0001297568D02* +X0000309500Y0001296671D01* +X0000306975Y0001298544D01* +X0000306079Y0001301558D01* +X0000307952Y0001304083D01* +X0000310966Y0001304979D01* +X0000313491Y0001303106D01* +X0000314387Y0001300093D01* +X0000312514Y0001297568D01* +X0000306818Y0001257167D02* +X0000305922Y0001260180D01* +X0000304781Y0001261809D01* +X0000302256Y0001263682D01* +X0000293948Y0001265147D01* +X0000290934Y0001264251D01* +X0000289305Y0001263110D01* +X0000287432Y0001260585D01* +X0000286700Y0001256431D01* +X0000287596Y0001253417D01* +X0000288737Y0001251788D01* +X0000291262Y0001249915D01* +X0000299570Y0001248450D01* +X0000302584Y0001249347D01* +X0000304213Y0001250487D01* +X0000306086Y0001253013D01* +X0000306818Y0001257167D01* +X0000284258Y0001242584D02* +X0000282305Y0001231506D01* +X0000302912Y0001235011D02* +X0000277987Y0001239406D01* +X0000274973Y0001238510D01* +X0000273100Y0001235985D01* +X0000272612Y0001233215D01* +X0000293878Y0001183777D02* +X0000278646Y0001186463D01* +X0000276120Y0001188336D01* +X0000275224Y0001191349D01* +X0000276201Y0001196888D01* +X0000278074Y0001199413D01* +X0000292493Y0001184021D02* +X0000294366Y0001186546D01* +X0000295587Y0001193470D01* +X0000294690Y0001196484D01* +X0000292165Y0001198357D01* +X0000289396Y0001198845D01* +X0000286382Y0001197948D01* +X0000284509Y0001195423D01* +X0000283288Y0001188500D01* +X0000281415Y0001185974D01* +X0000290703Y0001165776D02* +X0000289807Y0001168789D01* +X0000287282Y0001170662D01* +X0000262357Y0001175057D01* +X0000288018Y0001150544D02* +X0000287121Y0001153557D01* +X0000284596Y0001155431D01* +X0000259671Y0001159825D01* +X0000435563Y0001706342D02* +X0000436460Y0001703328D01* +X0000435483Y0001697789D01* +X0000433610Y0001695264D01* +X0000430596Y0001694368D01* +X0000429212Y0001694612D01* +X0000426686Y0001696485D01* +X0000425790Y0001699499D01* +X0000426523Y0001703653D01* +X0000425626Y0001706666D01* +X0000423101Y0001708539D01* +X0000421716Y0001708783D01* +X0000418703Y0001707887D01* +X0000416830Y0001705362D01* +X0000416097Y0001701208D01* +X0000416993Y0001698194D01* +X0000413167Y0001684591D02* +X0000442246Y0001679464D01* +X0000414552Y0001684347D02* +X0000412679Y0001681822D01* +X0000411702Y0001676283D01* +X0000412599Y0001673269D01* +X0000413739Y0001671640D01* +X0000416264Y0001669767D01* +X0000424573Y0001668302D01* +X0000427586Y0001669199D01* +X0000429215Y0001670339D01* +X0000431088Y0001672865D01* +X0000432065Y0001678403D01* +X0000431168Y0001681417D01* +X0000427182Y0001650709D02* +X0000426285Y0001653723D01* +X0000425145Y0001655352D01* +X0000422619Y0001657225D01* +X0000414311Y0001658690D01* +X0000411297Y0001657793D01* +X0000409669Y0001656653D01* +X0000407796Y0001654127D01* +X0000407063Y0001649973D01* +X0000407959Y0001646960D01* +X0000409100Y0001645331D01* +X0000411625Y0001643458D01* +X0000419934Y0001641993D01* +X0000422947Y0001642889D01* +X0000424576Y0001644030D01* +X0000426449Y0001646555D01* +X0000427182Y0001650709D01* +X0000403889Y0001631972D02* +X0000423275Y0001628554D01* +X0000406658Y0001631484D02* +X0000405029Y0001630343D01* +X0000403156Y0001627818D01* +X0000402424Y0001623664D01* +X0000403320Y0001620650D01* +X0000405846Y0001618777D01* +X0000421077Y0001616091D01* +X0000399982Y0001609817D02* +X0000398029Y0001598739D01* +X0000389557Y0001607372D02* +X0000414482Y0001602977D01* +X0000417007Y0001601104D01* +X0000417903Y0001598090D01* +X0000417415Y0001595321D01* +X0000413508Y0001573165D02* +X0000398277Y0001575851D01* +X0000395751Y0001577724D01* +X0000394855Y0001580738D01* +X0000395832Y0001586277D01* +X0000397705Y0001588802D01* +X0000412124Y0001573410D02* +X0000413997Y0001575935D01* +X0000415218Y0001582858D01* +X0000414321Y0001585872D01* +X0000411796Y0001587745D01* +X0000409026Y0001588233D01* +X0000406013Y0001587337D01* +X0000404140Y0001584812D01* +X0000402919Y0001577888D01* +X0000401046Y0001575363D01* +X0000391681Y0001562737D02* +X0000411067Y0001559318D01* +X0000394450Y0001562248D02* +X0000392821Y0001561108D01* +X0000390948Y0001558582D01* +X0000390216Y0001554428D01* +X0000391112Y0001551415D01* +X0000393637Y0001549542D01* +X0000408869Y0001546856D01* +X0000403090Y0001522175D02* +X0000404963Y0001524700D01* +X0000405939Y0001530239D01* +X0000405043Y0001533253D01* +X0000402518Y0001535126D01* +X0000391440Y0001537079D01* +X0000388426Y0001536183D01* +X0000386553Y0001533658D01* +X0000385577Y0001528119D01* +X0000386473Y0001525105D01* +X0000388998Y0001523232D01* +X0000391768Y0001522744D01* +X0000396979Y0001536102D01* +X0000401300Y0001503930D02* +X0000400404Y0001506943D01* +X0000399263Y0001508572D01* +X0000396738Y0001510445D01* +X0000388430Y0001511910D01* +X0000385416Y0001511014D01* +X0000383787Y0001509873D01* +X0000381914Y0001507348D01* +X0000381182Y0001503194D01* +X0000382078Y0001500180D01* +X0000383219Y0001498551D01* +X0000385744Y0001496678D01* +X0000394052Y0001495213D01* +X0000397066Y0001496110D01* +X0000398695Y0001497250D01* +X0000400568Y0001499776D01* +X0000401300Y0001503930D01* +X0000375810Y0001472730D02* +X0000395196Y0001469312D01* +X0000378008Y0001485193D02* +X0000393240Y0001482507D01* +X0000395765Y0001480634D01* +X0000396661Y0001477620D01* +X0000395929Y0001473466D01* +X0000394056Y0001470941D01* +X0000392427Y0001469800D01* +X0000391614Y0001457094D02* +X0000392510Y0001454080D01* +X0000391534Y0001448541D01* +X0000389661Y0001446016D01* +X0000386647Y0001445120D01* +X0000385263Y0001445364D01* +X0000382737Y0001447237D01* +X0000381841Y0001450250D01* +X0000382573Y0001454404D01* +X0000381677Y0001457418D01* +X0000379152Y0001459291D01* +X0000377767Y0001459535D01* +X0000374753Y0001458639D01* +X0000372880Y0001456114D01* +X0000372148Y0001451960D01* +X0000373044Y0001448946D01* +X0000381115Y0001397551D02* +X0000382988Y0001400076D01* +X0000383965Y0001405615D01* +X0000383068Y0001408629D01* +X0000381928Y0001410258D01* +X0000379403Y0001412131D01* +X0000371094Y0001413596D01* +X0000368081Y0001412699D01* +X0000366452Y0001411559D01* +X0000364579Y0001409033D01* +X0000363602Y0001403495D01* +X0000364499Y0001400481D01* +X0000379570Y0001380690D02* +X0000378673Y0001383704D01* +X0000377533Y0001385333D01* +X0000375008Y0001387206D01* +X0000366699Y0001388671D01* +X0000363686Y0001387774D01* +X0000362057Y0001386634D01* +X0000360184Y0001384109D01* +X0000359451Y0001379954D01* +X0000360348Y0001376941D01* +X0000361488Y0001375312D01* +X0000364014Y0001373439D01* +X0000372322Y0001371974D01* +X0000375335Y0001372870D01* +X0000376964Y0001374011D01* +X0000378837Y0001376536D01* +X0000379570Y0001380690D01* +X0000375663Y0001358535D02* +X0000356277Y0001361953D01* +X0000359047Y0001361465D02* +X0000357418Y0001360324D01* +X0000355545Y0001357799D01* +X0000354812Y0001353645D01* +X0000355709Y0001350631D01* +X0000358234Y0001348758D01* +X0000373466Y0001346072D01* +X0000358234Y0001348758D02* +X0000355220Y0001347862D01* +X0000353347Y0001345337D01* +X0000352615Y0001341182D01* +X0000353511Y0001338169D01* +X0000356037Y0001336296D01* +X0000371268Y0001333610D01* +X0000368827Y0001319763D02* +X0000339748Y0001324890D01* +X0000350825Y0001322937D02* +X0000348952Y0001320412D01* +X0000347976Y0001314873D01* +X0000348872Y0001311859D01* +X0000350013Y0001310230D01* +X0000352538Y0001308357D01* +X0000360846Y0001306892D01* +X0000363860Y0001307789D01* +X0000365489Y0001308929D01* +X0000367362Y0001311455D01* +X0000368338Y0001316994D01* +X0000367442Y0001320007D01* +X0000342604Y0001284409D02* +X0000361990Y0001280991D01* +X0000344802Y0001296872D02* +X0000360034Y0001294186D01* +X0000362559Y0001292313D01* +X0000363455Y0001289299D01* +X0000362723Y0001285145D01* +X0000360850Y0001282620D01* +X0000359221Y0001281479D01* +X0000358408Y0001268773D02* +X0000359304Y0001265759D01* +X0000358328Y0001260220D01* +X0000356455Y0001257695D01* +X0000353441Y0001256799D01* +X0000352056Y0001257043D01* +X0000349531Y0001258916D01* +X0000348635Y0001261929D01* +X0000349367Y0001266084D01* +X0000348471Y0001269097D01* +X0000345946Y0001270970D01* +X0000344561Y0001271214D01* +X0000341547Y0001270318D01* +X0000339674Y0001267793D01* +X0000338942Y0001263639D01* +X0000339838Y0001260625D01* +X0000336744Y0001251176D02* +X0000334791Y0001240098D01* +X0000326319Y0001248731D02* +X0000351244Y0001244336D01* +X0000353769Y0001242463D01* +X0000354665Y0001239450D01* +X0000354177Y0001236680D01* +X0000352468Y0001226987D02* +X0000333082Y0001230406D01* +X0000323389Y0001232115D02* +X0000325018Y0001233255D01* +X0000326158Y0001231626D01* +X0000324529Y0001230486D01* +X0000323389Y0001232115D01* +X0000326158Y0001231626D01* +X0000349294Y0001208986D02* +X0000348397Y0001212000D01* +X0000347257Y0001213628D01* +X0000344732Y0001215501D01* +X0000336423Y0001216966D01* +X0000333410Y0001216070D01* +X0000331781Y0001214930D01* +X0000329908Y0001212404D01* +X0000329175Y0001208250D01* +X0000330072Y0001205237D01* +X0000331212Y0001203608D01* +X0000333737Y0001201735D01* +X0000342046Y0001200270D01* +X0000345059Y0001201166D01* +X0000346688Y0001202307D01* +X0000348561Y0001204832D01* +X0000349294Y0001208986D01* +X0000326001Y0001190249D02* +X0000345387Y0001186831D01* +X0000328771Y0001189761D02* +X0000327142Y0001188620D01* +X0000325269Y0001186095D01* +X0000324536Y0001181941D01* +X0000325433Y0001178927D01* +X0000327958Y0001177054D01* +X0000343190Y0001174368D01* +X0000339608Y0001162150D02* +X0000340504Y0001159136D01* +X0000339527Y0001153597D01* +X0000337654Y0001151072D01* +X0000334641Y0001150176D01* +X0000333256Y0001150420D01* +X0000330731Y0001152293D01* +X0000329834Y0001155307D01* +X0000330567Y0001159461D01* +X0000329670Y0001162474D01* +X0000327145Y0001164347D01* +X0000325760Y0001164592D01* +X0000322747Y0001163695D01* +X0000320874Y0001161170D01* +X0000320141Y0001157016D01* +X0000321038Y0001154002D01* +X0001281693Y0001616440D02* +X0001265611Y0001641204D01* +X0001268843Y0001618155D01* +X0001249102Y0001630483D01* +X0001265183Y0001605719D01* +X0001242778Y0001591169D02* +X0001234354Y0001604141D01* +X0001234002Y0001607265D01* +X0001235595Y0001609976D01* +X0001240311Y0001613039D01* +X0001243436Y0001613391D01* +X0001242012Y0001592348D02* +X0001245136Y0001592701D01* +X0001251033Y0001596530D01* +X0001252625Y0001599240D01* +X0001252273Y0001602365D01* +X0001250741Y0001604723D01* +X0001248030Y0001606316D01* +X0001244906Y0001605963D01* +X0001239010Y0001602134D01* +X0001235886Y0001601782D01* +X0001209651Y0001593128D02* +X0001222670Y0001573081D01* +X0001225381Y0001571488D01* +X0001227326Y0001571075D01* +X0001230450Y0001571427D01* +X0001233988Y0001573725D01* +X0001235581Y0001576436D01* +X0001219607Y0001577798D02* +X0001222731Y0001578150D01* +X0001227448Y0001581214D01* +X0001229041Y0001583924D01* +X0001229454Y0001585869D01* +X0001229102Y0001588994D01* +X0001224507Y0001596069D01* +X0001221796Y0001597662D01* +X0001219851Y0001598075D01* +X0001216727Y0001597723D01* +X0001212010Y0001594660D01* +X0001210417Y0001591949D01* +X0001208580Y0001568961D02* +X0001197859Y0001585470D01* +X0001192498Y0001593725D02* +X0001194443Y0001593311D01* +X0001194030Y0001591366D01* +X0001192085Y0001591780D01* +X0001192498Y0001593725D01* +X0001194030Y0001591366D01* +X0001185409Y0001555590D02* +X0001188533Y0001555942D01* +X0001193250Y0001559005D01* +X0001194843Y0001561716D01* +X0001195256Y0001563661D01* +X0001194904Y0001566785D01* +X0001190309Y0001573861D01* +X0001187598Y0001575453D01* +X0001185653Y0001575867D01* +X0001182529Y0001575514D01* +X0001177812Y0001572451D01* +X0001176219Y0001569740D01* +X0001157107Y0001537210D02* +X0001155515Y0001534500D01* +X0001150798Y0001531436D01* +X0001147674Y0001531084D01* +X0001144963Y0001532677D01* +X0001144197Y0001533856D01* +X0001143845Y0001536980D01* +X0001145437Y0001539691D01* +X0001148975Y0001541988D01* +X0001150568Y0001544699D01* +X0001150215Y0001547823D01* +X0001149449Y0001549003D01* +X0001146739Y0001550595D01* +X0001143614Y0001550243D01* +X0001140077Y0001547946D01* +X0001138484Y0001545235D01* +X0001136647Y0001522247D02* +X0001125926Y0001538756D01* +X0001127457Y0001536398D02* +X0001125512Y0001536811D01* +X0001122388Y0001536459D01* +X0001118850Y0001534161D01* +X0001117258Y0001531450D01* +X0001117610Y0001528326D01* +X0001126034Y0001515354D01* +X0001117610Y0001528326D02* +X0001114899Y0001529919D01* +X0001111775Y0001529566D01* +X0001108237Y0001527269D01* +X0001106645Y0001524558D01* +X0001106997Y0001521434D01* +X0001115421Y0001508462D01* +X0001100091Y0001498507D02* +X0001101683Y0001501218D01* +X0001102097Y0001503163D01* +X0001101745Y0001506287D01* +X0001097150Y0001513362D01* +X0001094439Y0001514955D01* +X0001092494Y0001515368D01* +X0001089370Y0001515016D01* +X0001085832Y0001512719D01* +X0001084239Y0001510008D01* +X0001083826Y0001508063D01* +X0001084178Y0001504939D01* +X0001088773Y0001497863D01* +X0001091484Y0001496270D01* +X0001093429Y0001495857D01* +X0001096553Y0001496209D01* +X0001100091Y0001498507D01* +X0001081223Y0001486254D02* +X0001065141Y0001511018D01* +X0001072738Y0001494156D02* +X0001071789Y0001480128D01* +X0001061068Y0001496637D02* +X0001076628Y0001493329D01* +X0001050976Y0001468288D02* +X0001054101Y0001468640D01* +X0001058818Y0001471704D01* +X0001060410Y0001474415D01* +X0001060058Y0001477539D01* +X0001053932Y0001486973D01* +X0001051221Y0001488565D01* +X0001048096Y0001488213D01* +X0001043380Y0001485150D01* +X0001041787Y0001482439D01* +X0001042139Y0001479315D01* +X0001043671Y0001476956D01* +X0001056995Y0001482256D01* +X0001021082Y0001447198D02* +X0001010361Y0001463707D01* +X0001013424Y0001458990D02* +X0001010713Y0001460583D01* +X0001008768Y0001460996D01* +X0001005644Y0001460644D01* +X0001003286Y0001459112D01* +X0000995552Y0001432295D02* +X0000998677Y0001432648D01* +X0001003394Y0001435711D01* +X0001004986Y0001438422D01* +X0001004634Y0001441546D01* +X0000998508Y0001450980D01* +X0000995797Y0001452573D01* +X0000992672Y0001452220D01* +X0000987956Y0001449157D01* +X0000986363Y0001446446D01* +X0000986715Y0001443322D01* +X0000988247Y0001440963D01* +X0001001571Y0001446263D01* +X0000977342Y0001442265D02* +X0000967909Y0001436138D01* +X0000984526Y0001423458D02* +X0000970742Y0001444684D01* +X0000968031Y0001446277D01* +X0000964906Y0001445925D01* +X0000962548Y0001444393D01* +X0000970375Y0001414269D02* +X0000959654Y0001430778D01* +X0000954293Y0001439032D02* +X0000956238Y0001438619D01* +X0000955825Y0001436674D01* +X0000953880Y0001437087D01* +X0000954293Y0001439032D01* +X0000955825Y0001436674D01* +X0000955045Y0001404313D02* +X0000956638Y0001407024D01* +X0000956285Y0001410148D01* +X0000942501Y0001431374D01* +X0000942074Y0001395889D02* +X0000943666Y0001398600D01* +X0000943314Y0001401724D01* +X0000929530Y0001422951D01* +X0000933053Y0001391708D02* +X0000931461Y0001388997D01* +X0000926744Y0001385934D01* +X0000923619Y0001385581D01* +X0000920908Y0001387174D01* +X0000920143Y0001388353D01* +X0000919790Y0001391478D01* +X0000921383Y0001394188D01* +X0000924921Y0001396486D01* +X0000926513Y0001399197D01* +X0000926161Y0001402321D01* +X0000925395Y0001403500D01* +X0000922684Y0001405093D01* +X0000919560Y0001404740D01* +X0000916022Y0001402443D01* +X0000914430Y0001399732D01* +X0001258738Y0001543357D02* +X0001257146Y0001540646D01* +X0001252429Y0001537583D01* +X0001249304Y0001537231D01* +X0001246594Y0001538824D01* +X0001245828Y0001540003D01* +X0001245475Y0001543127D01* +X0001247068Y0001545838D01* +X0001250606Y0001548135D01* +X0001252198Y0001550846D01* +X0001251846Y0001553970D01* +X0001251080Y0001555150D01* +X0001248369Y0001556742D01* +X0001245245Y0001556390D01* +X0001241707Y0001554093D01* +X0001240115Y0001551382D01* +X0001234740Y0001526096D02* +X0001236333Y0001528807D01* +X0001236746Y0001530752D01* +X0001236394Y0001533876D01* +X0001231799Y0001540952D01* +X0001229088Y0001542544D01* +X0001227143Y0001542958D01* +X0001224019Y0001542605D01* +X0001220481Y0001540308D01* +X0001218889Y0001537597D01* +X0001218475Y0001535652D01* +X0001218828Y0001532528D01* +X0001223422Y0001525452D01* +X0001226133Y0001523860D01* +X0001228078Y0001523446D01* +X0001231202Y0001523799D01* +X0001234740Y0001526096D01* +X0001212335Y0001511546D02* +X0001213927Y0001514257D01* +X0001213575Y0001517381D01* +X0001199791Y0001538607D01* +X0001192288Y0001498527D02* +X0001176206Y0001523291D01* +X0001191522Y0001499707D02* +X0001194646Y0001500059D01* +X0001199363Y0001503122D01* +X0001200956Y0001505833D01* +X0001201369Y0001507778D01* +X0001201017Y0001510902D01* +X0001196422Y0001517978D01* +X0001193711Y0001519570D01* +X0001191766Y0001519984D01* +X0001188642Y0001519631D01* +X0001183925Y0001516568D01* +X0001182332Y0001513857D01* +X0001162041Y0001480562D02* +X0001160448Y0001477851D01* +X0001155732Y0001474787D01* +X0001152607Y0001474435D01* +X0001149896Y0001476028D01* +X0001149131Y0001477207D01* +X0001148778Y0001480331D01* +X0001150371Y0001483042D01* +X0001153909Y0001485339D01* +X0001155501Y0001488050D01* +X0001155149Y0001491175D01* +X0001154383Y0001492354D01* +X0001151672Y0001493946D01* +X0001148548Y0001493594D01* +X0001145010Y0001491297D01* +X0001143418Y0001488586D01* +X0001131381Y0001460651D02* +X0001134505Y0001461003D01* +X0001139222Y0001464066D01* +X0001140815Y0001466777D01* +X0001140463Y0001469901D01* +X0001134336Y0001479335D01* +X0001131625Y0001480928D01* +X0001128501Y0001480575D01* +X0001123784Y0001477512D01* +X0001122191Y0001474801D01* +X0001122544Y0001471677D01* +X0001124075Y0001469319D01* +X0001137399Y0001474618D01* +X0001109633Y0001468323D02* +X0001125715Y0001443559D01* +X0001110399Y0001467143D02* +X0001107275Y0001466791D01* +X0001102558Y0001463728D01* +X0001100965Y0001461017D01* +X0001100552Y0001459072D01* +X0001100904Y0001455948D01* +X0001105499Y0001448872D01* +X0001108210Y0001447280D01* +X0001110155Y0001446866D01* +X0001113279Y0001447219D01* +X0001117996Y0001450282D01* +X0001119589Y0001452993D01* +X0001087750Y0001432316D02* +X0001090874Y0001432668D01* +X0001095591Y0001435731D01* +X0001097183Y0001438442D01* +X0001096831Y0001441567D01* +X0001090705Y0001451000D01* +X0001087994Y0001452593D01* +X0001084870Y0001452241D01* +X0001080153Y0001449178D01* +X0001078560Y0001446467D01* +X0001078912Y0001443342D01* +X0001080444Y0001440984D01* +X0001093768Y0001446284D01* +X0001076723Y0001423479D02* +X0001066002Y0001439988D01* +X0001069065Y0001435271D02* +X0001066354Y0001436864D01* +X0001064409Y0001437277D01* +X0001061285Y0001436925D01* +X0001058926Y0001435393D01* +X0001050780Y0001406631D02* +X0001042356Y0001419603D01* +X0001042004Y0001422727D01* +X0001043596Y0001425438D01* +X0001048313Y0001428501D01* +X0001051437Y0001428853D01* +X0001050014Y0001407810D02* +X0001053138Y0001408163D01* +X0001059034Y0001411992D01* +X0001060627Y0001414702D01* +X0001060275Y0001417827D01* +X0001058743Y0001420185D01* +X0001056032Y0001421778D01* +X0001052908Y0001421425D01* +X0001047012Y0001417597D01* +X0001043888Y0001417244D01* +X0001031804Y0001417780D02* +X0001022370Y0001411653D01* +X0001022906Y0001423737D02* +X0001036690Y0001402511D01* +X0001037042Y0001399386D01* +X0001035450Y0001396676D01* +X0001033091Y0001395144D01* +X0001014637Y0001384836D02* +X0001017761Y0001385189D01* +X0001022478Y0001388252D01* +X0001024071Y0001390963D01* +X0001023719Y0001394087D01* +X0001017592Y0001403521D01* +X0001014881Y0001405113D01* +X0001011757Y0001404761D01* +X0001007040Y0001401698D01* +X0001005447Y0001398987D01* +X0001005800Y0001395863D01* +X0001007331Y0001393504D01* +X0001020655Y0001398804D01* +X0001000073Y0001373702D02* +X0001001666Y0001376412D01* +X0001001313Y0001379537D01* +X0000987529Y0001400763D01* +X0000982276Y0001385616D02* +X0000987101Y0001365278D01* +X0000970484Y0001377958D02* +X0000987101Y0001365278D01* +X0000993289Y0001360913D01* +X0000995234Y0001360500D01* +X0000998358Y0001360852D01* +X0001371407Y0001273246D02* +X0001366842Y0001242458D01* +X0001352022Y0001269828D01* +X0001336134Y0001238471D02* +X0001339148Y0001237575D01* +X0001344686Y0001238552D01* +X0001347212Y0001240425D01* +X0001348108Y0001243438D01* +X0001346155Y0001254516D01* +X0001344282Y0001257041D01* +X0001341268Y0001257938D01* +X0001335729Y0001256961D01* +X0001333204Y0001255088D01* +X0001332308Y0001252074D01* +X0001332796Y0001249305D01* +X0001347131Y0001248977D01* +X0001322531Y0001234645D02* +X0001319113Y0001254031D01* +X0001320089Y0001248492D02* +X0001318216Y0001251017D01* +X0001316588Y0001252158D01* +X0001313574Y0001253054D01* +X0001310805Y0001252566D01* +X0001305670Y0001233100D02* +X0001303145Y0001231227D01* +X0001297606Y0001230250D01* +X0001294593Y0001231147D01* +X0001292720Y0001233672D01* +X0001292475Y0001235056D01* +X0001293372Y0001238070D01* +X0001295897Y0001239943D01* +X0001300051Y0001240676D01* +X0001302577Y0001242549D01* +X0001303473Y0001245562D01* +X0001303229Y0001246947D01* +X0001301356Y0001249472D01* +X0001298342Y0001250369D01* +X0001294188Y0001249636D01* +X0001291663Y0001247763D01* +X0001280990Y0001227320D02* +X0001277571Y0001246706D01* +X0001275862Y0001256399D02* +X0001277491Y0001255259D01* +X0001276351Y0001253630D01* +X0001274722Y0001254770D01* +X0001275862Y0001256399D01* +X0001276351Y0001253630D01* +X0001262988Y0001224146D02* +X0001265514Y0001226019D01* +X0001266654Y0001227648D01* +X0001267551Y0001230662D01* +X0001266086Y0001238970D01* +X0001264213Y0001241495D01* +X0001262584Y0001242636D01* +X0001259570Y0001243532D01* +X0001255416Y0001242800D01* +X0001252891Y0001240927D01* +X0001251750Y0001239298D01* +X0001250854Y0001236284D01* +X0001252319Y0001227976D01* +X0001254192Y0001225451D01* +X0001255821Y0001224310D01* +X0001258834Y0001223414D01* +X0001262988Y0001224146D01* +X0001237415Y0001239625D02* +X0001240833Y0001220239D01* +X0001237903Y0001236856D02* +X0001236274Y0001237997D01* +X0001233261Y0001238893D01* +X0001229106Y0001238160D01* +X0001226581Y0001236287D01* +X0001225685Y0001233274D01* +X0001228371Y0001218042D01* +X0001177136Y0001209008D02* +X0001193753Y0001211938D01* +X0001185445Y0001210473D02* +X0001180317Y0001239552D01* +X0001183819Y0001235886D01* +X0001187077Y0001233605D01* +X0001190090Y0001232709D01* +X0001164185Y0001209580D02* +X0001163045Y0001207951D01* +X0001164674Y0001206811D01* +X0001165814Y0001208439D01* +X0001164185Y0001209580D01* +X0001164674Y0001206811D01* +X0001140160Y0001232471D02* +X0001137391Y0001231983D01* +X0001134866Y0001230110D01* +X0001133725Y0001228481D01* +X0001132829Y0001225467D01* +X0001132421Y0001219684D01* +X0001133642Y0001212761D01* +X0001136003Y0001207466D01* +X0001137876Y0001204941D01* +X0001139505Y0001203800D01* +X0001142518Y0001202904D01* +X0001145288Y0001203392D01* +X0001147813Y0001205265D01* +X0001148954Y0001206894D01* +X0001149850Y0001209908D01* +X0001150258Y0001215691D01* +X0001149037Y0001222614D01* +X0001146676Y0001227909D01* +X0001144803Y0001230434D01* +X0001143174Y0001231575D01* +X0001140160Y0001232471D01* +X0001493951Y0001213900D02* +X0001488824Y0001242979D01* +X0001490777Y0001231901D02* +X0001487764Y0001232797D01* +X0001482225Y0001231821D01* +X0001479700Y0001229948D01* +X0001478559Y0001228319D01* +X0001477663Y0001225305D01* +X0001479128Y0001216997D01* +X0001481001Y0001214472D01* +X0001482630Y0001213331D01* +X0001485643Y0001212435D01* +X0001491182Y0001213412D01* +X0001493707Y0001215285D01* +X0001466993Y0001229135D02* +X0001463488Y0001208528D01* +X0001453146Y0001226693D02* +X0001463488Y0001208528D01* +X0001467478Y0001202093D01* +X0001469107Y0001200952D01* +X0001472120Y0001200056D01* +X0001424472Y0001203076D02* +X0001420562Y0001200959D01* +X0001413638Y0001199738D01* +X0001410624Y0001200635D01* +X0001408996Y0001201775D01* +X0001407122Y0001204301D01* +X0001406634Y0001207070D01* +X0001407531Y0001210084D01* +X0001408671Y0001211713D01* +X0001411196Y0001213586D01* +X0001416491Y0001215947D01* +X0001419016Y0001217820D01* +X0001420157Y0001219449D01* +X0001421053Y0001222462D01* +X0001420565Y0001225232D01* +X0001418692Y0001227757D01* +X0001417063Y0001228898D01* +X0001414049Y0001229794D01* +X0001407126Y0001228573D01* +X0001403216Y0001226456D01* +X0001384315Y0001195996D02* +X0001387328Y0001195099D01* +X0001392867Y0001196076D01* +X0001395393Y0001197949D01* +X0001396289Y0001200963D01* +X0001394336Y0001212040D01* +X0001392463Y0001214566D01* +X0001389449Y0001215462D01* +X0001383910Y0001214485D01* +X0001381385Y0001212612D01* +X0001380489Y0001209599D01* +X0001380977Y0001206829D01* +X0001395312Y0001206501D01* +X0001370712Y0001192169D02* +X0001365585Y0001221248D01* +X0001367538Y0001210171D02* +X0001364524Y0001211067D01* +X0001358985Y0001210090D01* +X0001356460Y0001208217D01* +X0001355320Y0001206589D01* +X0001354423Y0001203575D01* +X0001355888Y0001195267D01* +X0001357761Y0001192741D01* +X0001359390Y0001191601D01* +X0001362404Y0001190704D01* +X0001367942Y0001191681D01* +X0001370468Y0001193554D01* +X0001331940Y0001185333D02* +X0001329254Y0001200565D01* +X0001330151Y0001203578D01* +X0001332676Y0001205451D01* +X0001338215Y0001206428D01* +X0001341228Y0001205532D01* +X0001331696Y0001186718D02* +X0001334709Y0001185821D01* +X0001341633Y0001187042D01* +X0001344158Y0001188915D01* +X0001345055Y0001191929D01* +X0001344566Y0001194698D01* +X0001342693Y0001197223D01* +X0001339680Y0001198120D01* +X0001332756Y0001196899D01* +X0001329742Y0001197795D01* +X0001319233Y0001184520D02* +X0001316708Y0001182647D01* +X0001311169Y0001181670D01* +X0001308156Y0001182567D01* +X0001306283Y0001185092D01* +X0001306039Y0001186477D01* +X0001306935Y0001189490D01* +X0001309460Y0001191363D01* +X0001313614Y0001192096D01* +X0001316140Y0001193969D01* +X0001317036Y0001196982D01* +X0001316792Y0001198367D01* +X0001314919Y0001200893D01* +X0001311905Y0001201789D01* +X0001307751Y0001201056D01* +X0001305226Y0001199183D01* +X0001295289Y0001198859D02* +X0001284211Y0001196906D01* +X0001289425Y0001207819D02* +X0001293820Y0001182895D01* +X0001292924Y0001179881D01* +X0001290399Y0001178008D01* +X0001287629Y0001177520D01* +X0001277936Y0001175811D02* +X0001274518Y0001195196D01* +X0001272809Y0001204890D02* +X0001274438Y0001203749D01* +X0001273297Y0001202120D01* +X0001271668Y0001203261D01* +X0001272809Y0001204890D01* +X0001273297Y0001202120D01* +X0001251627Y0001171171D02* +X0001248941Y0001186403D01* +X0001249837Y0001189417D01* +X0001252363Y0001191290D01* +X0001257901Y0001192267D01* +X0001260915Y0001191370D01* +X0001251382Y0001172556D02* +X0001254396Y0001171660D01* +X0001261320Y0001172881D01* +X0001263845Y0001174754D01* +X0001264741Y0001177767D01* +X0001264253Y0001180537D01* +X0001262380Y0001183062D01* +X0001259366Y0001183958D01* +X0001252443Y0001182737D01* +X0001249429Y0001183634D01* +X0001234361Y0001188116D02* +X0001237780Y0001168730D01* +X0001234850Y0001185346D02* +X0001233221Y0001186487D01* +X0001230207Y0001187383D01* +X0001226053Y0001186651D01* +X0001223528Y0001184778D01* +X0001222631Y0001181764D01* +X0001225317Y0001166532D01* +X0001190455Y0001161813D02* +X0001186545Y0001159696D01* +X0001179622Y0001158475D01* +X0001176608Y0001159371D01* +X0001174979Y0001160512D01* +X0001173106Y0001163037D01* +X0001172618Y0001165807D01* +X0001173514Y0001168820D01* +X0001174655Y0001170449D01* +X0001177180Y0001172322D01* +X0001182475Y0001174683D01* +X0001185000Y0001176557D01* +X0001186140Y0001178185D01* +X0001187037Y0001181199D01* +X0001186549Y0001183968D01* +X0001184675Y0001186494D01* +X0001183047Y0001187634D01* +X0001180033Y0001188531D01* +X0001173109Y0001187310D01* +X0001169199Y0001185193D01* +X0001148914Y0001154488D02* +X0001151927Y0001153592D01* +X0001157466Y0001154568D01* +X0001159991Y0001156441D01* +X0001161132Y0001158070D01* +X0001162028Y0001161084D01* +X0001160563Y0001169392D01* +X0001158690Y0001171917D01* +X0001157062Y0001173058D01* +X0001154048Y0001173954D01* +X0001148509Y0001172978D01* +X0001145984Y0001171105D01* +X0001136696Y0001150906D02* +X0001131568Y0001179985D01* +X0001124233Y0001148709D02* +X0001121547Y0001163940D01* +X0001122444Y0001166954D01* +X0001124969Y0001168827D01* +X0001129123Y0001169559D01* +X0001132137Y0001168663D01* +X0001133766Y0001167523D01* +X0001094505Y0001163455D02* +X0001097924Y0001144069D01* +X0001106968Y0001165653D02* +X0001109654Y0001150421D01* +X0001108757Y0001147407D01* +X0001106232Y0001145534D01* +X0001102078Y0001144802D01* +X0001099064Y0001145698D01* +X0001097435Y0001146839D01* +X0001084076Y0001141628D02* +X0001080658Y0001161014D01* +X0001081146Y0001158244D02* +X0001079518Y0001159385D01* +X0001076504Y0001160281D01* +X0001072350Y0001159549D01* +X0001069825Y0001157676D01* +X0001068928Y0001154662D01* +X0001071614Y0001139430D01* +X0001068928Y0001154662D02* +X0001067055Y0001157187D01* +X0001064042Y0001158084D01* +X0001059887Y0001157351D01* +X0001057362Y0001155478D01* +X0001056466Y0001152465D01* +X0001059152Y0001137233D01* +X0001045305Y0001134791D02* +X0001040177Y0001163870D01* +X0001042130Y0001152793D02* +X0001039117Y0001153689D01* +X0001033578Y0001152712D01* +X0001031053Y0001150839D01* +X0001029912Y0001149210D01* +X0001029016Y0001146197D01* +X0001030481Y0001137889D01* +X0001032354Y0001135363D01* +X0001033983Y0001134223D01* +X0001036996Y0001133326D01* +X0001042535Y0001134303D01* +X0001045060Y0001136176D01* +X0001306246Y0001156959D02* +X0001304617Y0001158099D01* +X0001301604Y0001158996D01* +X0001294680Y0001157775D01* +X0001292155Y0001155902D01* +X0001291014Y0001154273D01* +X0001290118Y0001151259D01* +X0001290606Y0001148490D01* +X0001292724Y0001144580D01* +X0001312270Y0001130893D01* +X0001294269Y0001127719D01* +X0001271140Y0001153624D02* +X0001268371Y0001153136D01* +X0001265845Y0001151263D01* +X0001264705Y0001149634D01* +X0001263808Y0001146620D01* +X0001263400Y0001140837D01* +X0001264621Y0001133914D01* +X0001266983Y0001128619D01* +X0001268856Y0001126094D01* +X0001270484Y0001124953D01* +X0001273498Y0001124057D01* +X0001276267Y0001124545D01* +X0001278793Y0001126418D01* +X0001279933Y0001128047D01* +X0001280830Y0001131061D01* +X0001281238Y0001136844D01* +X0001280017Y0001143767D01* +X0001277656Y0001149062D01* +X0001275782Y0001151587D01* +X0001274154Y0001152728D01* +X0001271140Y0001153624D01* +X0001238880Y0001117953D02* +X0001255497Y0001120883D01* +X0001247188Y0001119418D02* +X0001242061Y0001148497D01* +X0001245563Y0001144831D01* +X0001248821Y0001142550D01* +X0001251834Y0001141653D01* +X0001208828Y0001142637D02* +X0001214367Y0001143613D01* +X0001217380Y0001142717D01* +X0001219009Y0001141576D01* +X0001222511Y0001137911D01* +X0001224873Y0001132616D01* +X0001226826Y0001121538D01* +X0001225929Y0001118525D01* +X0001224789Y0001116896D01* +X0001222264Y0001115023D01* +X0001216725Y0001114046D01* +X0001213711Y0001114942D01* +X0001212082Y0001116083D01* +X0001210209Y0001118608D01* +X0001208988Y0001125532D01* +X0001209885Y0001128545D01* +X0001211025Y0001130174D01* +X0001213551Y0001132047D01* +X0001219090Y0001133024D01* +X0001222103Y0001132128D01* +X0001223732Y0001130987D01* +X0001225605Y0001128462D01* +D30* +X0001452474Y0001757733D02* +X0001480596Y0001757733D01* +X0001480596Y0001816788D01* +X0001432789Y0001757733D02* +X0001432789Y0001797103D01* +X0001432789Y0001816788D02* +X0001435601Y0001813976D01* +X0001432789Y0001811164D01* +X0001429977Y0001813976D01* +X0001432789Y0001816788D01* +X0001432789Y0001811164D01* +X0001404668Y0001757733D02* +X0001404668Y0001816788D01* +X0001382170Y0001816788D01* +X0001376546Y0001813976D01* +X0001373734Y0001811164D01* +X0001370922Y0001805539D01* +X0001370922Y0001797103D01* +X0001373734Y0001791479D01* +X0001376546Y0001788667D01* +X0001382170Y0001785854D01* +X0001404668Y0001785854D01* +X0001337176Y0001757733D02* +X0001342800Y0001760545D01* +X0001345613Y0001763357D01* +X0001348425Y0001768982D01* +X0001348425Y0001785854D01* +X0001345613Y0001791479D01* +X0001342800Y0001794291D01* +X0001337176Y0001797103D01* +X0001328740Y0001797103D01* +X0001323115Y0001794291D01* +X0001320303Y0001791479D01* +X0001317491Y0001785854D01* +X0001317491Y0001768982D01* +X0001320303Y0001763357D01* +X0001323115Y0001760545D01* +X0001328740Y0001757733D01* +X0001337176Y0001757733D01* +X0001216254Y0001813976D02* +X0001221878Y0001816788D01* +X0001230314Y0001816788D01* +X0001238751Y0001813976D01* +X0001244375Y0001808352D01* +X0001247187Y0001802727D01* +X0001250000Y0001791479D01* +X0001250000Y0001783042D01* +X0001247187Y0001771794D01* +X0001244375Y0001766169D01* +X0001238751Y0001760545D01* +X0001230314Y0001757733D01* +X0001224690Y0001757733D01* +X0001216254Y0001760545D01* +X0001213442Y0001763357D01* +X0001213442Y0001783042D01* +X0001224690Y0001783042D01* +X0001162823Y0001797103D02* +X0001162823Y0001757733D01* +X0001188132Y0001797103D02* +X0001188132Y0001766169D01* +X0001185320Y0001760545D01* +X0001179696Y0001757733D01* +X0001171259Y0001757733D01* +X0001165635Y0001760545D01* +X0001162823Y0001763357D01* +X0001109392Y0001757733D02* +X0001109392Y0001788667D01* +X0001112204Y0001794291D01* +X0001117828Y0001797103D01* +X0001129077Y0001797103D01* +X0001134701Y0001794291D01* +X0001109392Y0001760545D02* +X0001115016Y0001757733D01* +X0001129077Y0001757733D01* +X0001134701Y0001760545D01* +X0001137514Y0001766169D01* +X0001137514Y0001771794D01* +X0001134701Y0001777418D01* +X0001129077Y0001780230D01* +X0001115016Y0001780230D01* +X0001109392Y0001783042D01* +X0001081271Y0001757733D02* +X0001081271Y0001797103D01* +X0001081271Y0001785854D02* +X0001078458Y0001791479D01* +X0001075646Y0001794291D01* +X0001070022Y0001797103D01* +X0001064398Y0001797103D01* +X0001019403Y0001757733D02* +X0001019403Y0001816788D01* +X0001019403Y0001760545D02* +X0001025028Y0001757733D01* +X0001036276Y0001757733D01* +X0001041900Y0001760545D01* +X0001044713Y0001763357D01* +X0001047525Y0001768982D01* +X0001047525Y0001785854D01* +X0001044713Y0001791479D01* +X0001041900Y0001794291D01* +X0001036276Y0001797103D01* +X0001025028Y0001797103D01* +X0001019403Y0001794291D01* +D31* +G36* +X0000772699Y0001371548D02* +X0000772699Y0001370548D01* +X0000772900Y0001369648D01* +X0000773100Y0001368948D01* +X0000773600Y0001368148D01* +X0000774200Y0001367448D01* +X0000774399Y0001367248D01* +X0000775199Y0001366548D01* +X0000776100Y0001365948D01* +X0000777200Y0001365348D01* +X0000778199Y0001365048D01* +X0000778199Y0001370348D01* +X0000778399Y0001370848D01* +X0000778699Y0001371148D01* +X0000779200Y0001371448D01* +X0000779400Y0001371548D01* +X0000779700Y0001371548D01* +X0000780200Y0001371648D01* +X0000780899Y0001371648D01* +X0000781800Y0001371648D01* +X0000782900Y0001371748D01* +X0000783399Y0001371748D01* +X0000784199Y0001371748D01* +X0000784900Y0001371748D01* +X0000785600Y0001371848D01* +X0000786099Y0001371848D01* +X0000786599Y0001371848D01* +X0000786899Y0001371848D01* +X0000787000Y0001371948D01* +X0000787100Y0001371948D01* +X0000787300Y0001371848D01* +X0000787499Y0001371648D01* +X0000787699Y0001371448D01* +X0000788200Y0001370948D01* +X0000788499Y0001370448D01* +X0000788499Y0001369948D01* +X0000788400Y0001369448D01* +X0000788200Y0001368948D01* +X0000787699Y0001368648D01* +X0000787100Y0001368248D01* +X0000786299Y0001368048D01* +X0000785400Y0001367848D01* +X0000785200Y0001367848D01* +X0000784699Y0001367748D01* +X0000784000Y0001367748D01* +X0000783299Y0001367748D01* +X0000782600Y0001367748D01* +X0000781900Y0001367848D01* +X0000781300Y0001367948D01* +X0000781100Y0001367948D01* +X0000780299Y0001368148D01* +X0000779600Y0001368448D01* +X0000779100Y0001368848D01* +X0000778600Y0001369248D01* +X0000778300Y0001369648D01* +X0000778199Y0001369848D01* +X0000778199Y0001370348D01* +X0000778199Y0001365048D01* +X0000778399Y0001364948D01* +X0000779799Y0001364548D01* +X0000780800Y0001364348D01* +X0000781499Y0001364248D01* +X0000782200Y0001364148D01* +X0000783099Y0001364148D01* +X0000784000Y0001364148D01* +X0000784900Y0001364148D01* +X0000785700Y0001364148D01* +X0000786399Y0001364148D01* +X0000786500Y0001364148D01* +X0000787999Y0001364348D01* +X0000789200Y0001364648D01* +X0000790300Y0001365048D01* +X0000791200Y0001365548D01* +X0000792000Y0001366148D01* +X0000792599Y0001366848D01* +X0000793000Y0001367548D01* +X0000793100Y0001367648D01* +X0000793199Y0001368348D01* +X0000793300Y0001369148D01* +X0000793199Y0001369848D01* +X0000793100Y0001370448D01* +X0000792800Y0001370948D01* +X0000792399Y0001371448D01* +X0000791799Y0001372048D01* +X0000791299Y0001372448D01* +X0000790999Y0001372648D01* +X0000790699Y0001372848D01* +X0000790399Y0001373048D01* +X0000790300Y0001373148D01* +X0000790300Y0001373148D01* +X0000790399Y0001373248D01* +X0000790600Y0001373448D01* +X0000790800Y0001373648D01* +X0000791400Y0001374248D01* +X0000791799Y0001374948D01* +X0000792099Y0001375648D01* +X0000792200Y0001376448D01* +X0000792200Y0001377248D01* +X0000792000Y0001377848D01* +X0000791799Y0001378248D01* +X0000791400Y0001378848D01* +X0000790999Y0001379348D01* +X0000790600Y0001379748D01* +X0000790399Y0001379848D01* +X0000790199Y0001380048D01* +X0000790000Y0001380248D01* +X0000789899Y0001380348D01* +X0000790000Y0001380448D01* +X0000790199Y0001380648D01* +X0000790399Y0001380848D01* +X0000790600Y0001381048D01* +X0000791299Y0001381848D01* +X0000791799Y0001382648D01* +X0000792200Y0001383648D01* +X0000792399Y0001384648D01* +X0000792399Y0001385148D01* +X0000792399Y0001386348D01* +X0000792200Y0001387448D01* +X0000791799Y0001388548D01* +X0000791299Y0001389448D01* +X0000790600Y0001390248D01* +X0000789700Y0001390948D01* +X0000788700Y0001391548D01* +X0000787600Y0001392048D01* +X0000786299Y0001392448D01* +X0000786099Y0001392448D01* +X0000785499Y0001392548D01* +X0000784900Y0001392648D01* +X0000784100Y0001392648D01* +X0000783399Y0001392648D01* +X0000782700Y0001392548D01* +X0000782100Y0001392548D01* +X0000781900Y0001392448D01* +X0000781699Y0001392448D01* +X0000781499Y0001392348D01* +X0000781199Y0001392348D01* +X0000780899Y0001392348D01* +X0000780399Y0001392348D01* +X0000779799Y0001392348D01* +X0000779100Y0001392248D01* +X0000778199Y0001392248D01* +X0000777200Y0001392248D01* +X0000777000Y0001392248D01* +X0000772800Y0001392248D01* +X0000772800Y0001390048D01* +X0000772800Y0001387948D01* +X0000774800Y0001387948D01* +X0000775399Y0001387948D01* +X0000775900Y0001387848D01* +X0000776299Y0001387848D01* +X0000776600Y0001387848D01* +X0000776700Y0001387848D01* +X0000776700Y0001387848D01* +X0000776400Y0001387248D01* +X0000776200Y0001386848D01* +X0000776100Y0001386548D01* +X0000775999Y0001386248D01* +X0000775999Y0001385948D01* +X0000775900Y0001385548D01* +X0000775900Y0001385448D01* +X0000775999Y0001384248D01* +X0000776200Y0001383248D01* +X0000776600Y0001382248D01* +X0000777099Y0001381448D01* +X0000777800Y0001380648D01* +X0000778699Y0001380048D01* +X0000779700Y0001379548D01* +X0000780899Y0001379148D01* +X0000781000Y0001379048D01* +X0000781100Y0001379048D01* +X0000781100Y0001385448D01* +X0000781100Y0001385448D01* +X0000781100Y0001386148D01* +X0000781199Y0001386648D01* +X0000781300Y0001387148D01* +X0000781600Y0001387448D01* +X0000781900Y0001387848D01* +X0000782499Y0001388348D01* +X0000783099Y0001388548D01* +X0000783899Y0001388748D01* +X0000784000Y0001388748D01* +X0000784800Y0001388648D01* +X0000785400Y0001388448D01* +X0000785900Y0001388048D01* +X0000786200Y0001387848D01* +X0000786599Y0001387348D01* +X0000786800Y0001386848D01* +X0000786899Y0001386248D01* +X0000787000Y0001385448D01* +X0000786899Y0001384648D01* +X0000786700Y0001383848D01* +X0000786299Y0001383248D01* +X0000785900Y0001382848D01* +X0000785200Y0001382448D01* +X0000785100Y0001382448D01* +X0000784699Y0001382348D01* +X0000784300Y0001382348D01* +X0000783700Y0001382348D01* +X0000783200Y0001382348D01* +X0000782799Y0001382448D01* +X0000782700Y0001382548D01* +X0000782100Y0001382948D01* +X0000781600Y0001383448D01* +X0000781399Y0001383848D01* +X0000781199Y0001384148D01* +X0000781199Y0001384348D01* +X0000781100Y0001384648D01* +X0000781100Y0001384948D01* +X0000781100Y0001385448D01* +X0000781100Y0001379048D01* +X0000781800Y0001378948D01* +X0000782700Y0001378848D01* +X0000783599Y0001378748D01* +X0000784499Y0001378748D01* +X0000785299Y0001378848D01* +X0000785900Y0001378948D01* +X0000786800Y0001379048D01* +X0000787100Y0001378848D01* +X0000787399Y0001378448D01* +X0000787600Y0001378048D01* +X0000787600Y0001377548D01* +X0000787499Y0001377148D01* +X0000787300Y0001376848D01* +X0000787100Y0001376648D01* +X0000786899Y0001376548D01* +X0000786700Y0001376448D01* +X0000786500Y0001376448D01* +X0000786200Y0001376348D01* +X0000785799Y0001376348D01* +X0000785299Y0001376248D01* +X0000784699Y0001376248D01* +X0000783899Y0001376248D01* +X0000783000Y0001376148D01* +X0000782299Y0001376148D01* +X0000781399Y0001376148D01* +X0000780599Y0001376148D01* +X0000779799Y0001376148D01* +X0000779100Y0001376048D01* +X0000778499Y0001376048D01* +X0000778100Y0001376048D01* +X0000777800Y0001375948D01* +X0000777800Y0001375948D01* +X0000776600Y0001375748D01* +X0000775600Y0001375448D01* +X0000774700Y0001374948D01* +X0000774000Y0001374448D01* +X0000773400Y0001373848D01* +X0000772999Y0001373248D01* +X0000772900Y0001372948D01* +X0000772800Y0001372648D01* +X0000772699Y0001372348D01* +X0000772699Y0001372048D01* +X0000772699Y0001371548D01* +X0000772699Y0001371548D01* +X0000772699Y0001371548D01* +X0000772699Y0001371548D01* +G37* +X0000772699Y0001371548D02* +X0000772699Y0001370548D01* +X0000772900Y0001369648D01* +X0000773100Y0001368948D01* +X0000773600Y0001368148D01* +X0000774200Y0001367448D01* +X0000774399Y0001367248D01* +X0000775199Y0001366548D01* +X0000776100Y0001365948D01* +X0000777200Y0001365348D01* +X0000778199Y0001365048D01* +X0000778199Y0001370348D01* +X0000778399Y0001370848D01* +X0000778699Y0001371148D01* +X0000779200Y0001371448D01* +X0000779400Y0001371548D01* +X0000779700Y0001371548D01* +X0000780200Y0001371648D01* +X0000780899Y0001371648D01* +X0000781800Y0001371648D01* +X0000782900Y0001371748D01* +X0000783399Y0001371748D01* +X0000784199Y0001371748D01* +X0000784900Y0001371748D01* +X0000785600Y0001371848D01* +X0000786099Y0001371848D01* +X0000786599Y0001371848D01* +X0000786899Y0001371848D01* +X0000787000Y0001371948D01* +X0000787100Y0001371948D01* +X0000787300Y0001371848D01* +X0000787499Y0001371648D01* +X0000787699Y0001371448D01* +X0000788200Y0001370948D01* +X0000788499Y0001370448D01* +X0000788499Y0001369948D01* +X0000788400Y0001369448D01* +X0000788200Y0001368948D01* +X0000787699Y0001368648D01* +X0000787100Y0001368248D01* +X0000786299Y0001368048D01* +X0000785400Y0001367848D01* +X0000785200Y0001367848D01* +X0000784699Y0001367748D01* +X0000784000Y0001367748D01* +X0000783299Y0001367748D01* +X0000782600Y0001367748D01* +X0000781900Y0001367848D01* +X0000781300Y0001367948D01* +X0000781100Y0001367948D01* +X0000780299Y0001368148D01* +X0000779600Y0001368448D01* +X0000779100Y0001368848D01* +X0000778600Y0001369248D01* +X0000778300Y0001369648D01* +X0000778199Y0001369848D01* +X0000778199Y0001370348D01* +X0000778199Y0001365048D01* +X0000778399Y0001364948D01* +X0000779799Y0001364548D01* +X0000780800Y0001364348D01* +X0000781499Y0001364248D01* +X0000782200Y0001364148D01* +X0000783099Y0001364148D01* +X0000784000Y0001364148D01* +X0000784900Y0001364148D01* +X0000785700Y0001364148D01* +X0000786399Y0001364148D01* +X0000786500Y0001364148D01* +X0000787999Y0001364348D01* +X0000789200Y0001364648D01* +X0000790300Y0001365048D01* +X0000791200Y0001365548D01* +X0000792000Y0001366148D01* +X0000792599Y0001366848D01* +X0000793000Y0001367548D01* +X0000793100Y0001367648D01* +X0000793199Y0001368348D01* +X0000793300Y0001369148D01* +X0000793199Y0001369848D01* +X0000793100Y0001370448D01* +X0000792800Y0001370948D01* +X0000792399Y0001371448D01* +X0000791799Y0001372048D01* +X0000791299Y0001372448D01* +X0000790999Y0001372648D01* +X0000790699Y0001372848D01* +X0000790399Y0001373048D01* +X0000790300Y0001373148D01* +X0000790300Y0001373148D01* +X0000790399Y0001373248D01* +X0000790600Y0001373448D01* +X0000790800Y0001373648D01* +X0000791400Y0001374248D01* +X0000791799Y0001374948D01* +X0000792099Y0001375648D01* +X0000792200Y0001376448D01* +X0000792200Y0001377248D01* +X0000792000Y0001377848D01* +X0000791799Y0001378248D01* +X0000791400Y0001378848D01* +X0000790999Y0001379348D01* +X0000790600Y0001379748D01* +X0000790399Y0001379848D01* +X0000790199Y0001380048D01* +X0000790000Y0001380248D01* +X0000789899Y0001380348D01* +X0000790000Y0001380448D01* +X0000790199Y0001380648D01* +X0000790399Y0001380848D01* +X0000790600Y0001381048D01* +X0000791299Y0001381848D01* +X0000791799Y0001382648D01* +X0000792200Y0001383648D01* +X0000792399Y0001384648D01* +X0000792399Y0001385148D01* +X0000792399Y0001386348D01* +X0000792200Y0001387448D01* +X0000791799Y0001388548D01* +X0000791299Y0001389448D01* +X0000790600Y0001390248D01* +X0000789700Y0001390948D01* +X0000788700Y0001391548D01* +X0000787600Y0001392048D01* +X0000786299Y0001392448D01* +X0000786099Y0001392448D01* +X0000785499Y0001392548D01* +X0000784900Y0001392648D01* +X0000784100Y0001392648D01* +X0000783399Y0001392648D01* +X0000782700Y0001392548D01* +X0000782100Y0001392548D01* +X0000781900Y0001392448D01* +X0000781699Y0001392448D01* +X0000781499Y0001392348D01* +X0000781199Y0001392348D01* +X0000780899Y0001392348D01* +X0000780399Y0001392348D01* +X0000779799Y0001392348D01* +X0000779100Y0001392248D01* +X0000778199Y0001392248D01* +X0000777200Y0001392248D01* +X0000777000Y0001392248D01* +X0000772800Y0001392248D01* +X0000772800Y0001390048D01* +X0000772800Y0001387948D01* +X0000774800Y0001387948D01* +X0000775399Y0001387948D01* +X0000775900Y0001387848D01* +X0000776299Y0001387848D01* +X0000776600Y0001387848D01* +X0000776700Y0001387848D01* +X0000776700Y0001387848D01* +X0000776400Y0001387248D01* +X0000776200Y0001386848D01* +X0000776100Y0001386548D01* +X0000775999Y0001386248D01* +X0000775999Y0001385948D01* +X0000775900Y0001385548D01* +X0000775900Y0001385448D01* +X0000775999Y0001384248D01* +X0000776200Y0001383248D01* +X0000776600Y0001382248D01* +X0000777099Y0001381448D01* +X0000777800Y0001380648D01* +X0000778699Y0001380048D01* +X0000779700Y0001379548D01* +X0000780899Y0001379148D01* +X0000781000Y0001379048D01* +X0000781100Y0001379048D01* +X0000781100Y0001385448D01* +X0000781100Y0001385448D01* +X0000781100Y0001386148D01* +X0000781199Y0001386648D01* +X0000781300Y0001387148D01* +X0000781600Y0001387448D01* +X0000781900Y0001387848D01* +X0000782499Y0001388348D01* +X0000783099Y0001388548D01* +X0000783899Y0001388748D01* +X0000784000Y0001388748D01* +X0000784800Y0001388648D01* +X0000785400Y0001388448D01* +X0000785900Y0001388048D01* +X0000786200Y0001387848D01* +X0000786599Y0001387348D01* +X0000786800Y0001386848D01* +X0000786899Y0001386248D01* +X0000787000Y0001385448D01* +X0000786899Y0001384648D01* +X0000786700Y0001383848D01* +X0000786299Y0001383248D01* +X0000785900Y0001382848D01* +X0000785200Y0001382448D01* +X0000785100Y0001382448D01* +X0000784699Y0001382348D01* +X0000784300Y0001382348D01* +X0000783700Y0001382348D01* +X0000783200Y0001382348D01* +X0000782799Y0001382448D01* +X0000782700Y0001382548D01* +X0000782100Y0001382948D01* +X0000781600Y0001383448D01* +X0000781399Y0001383848D01* +X0000781199Y0001384148D01* +X0000781199Y0001384348D01* +X0000781100Y0001384648D01* +X0000781100Y0001384948D01* +X0000781100Y0001385448D01* +X0000781100Y0001379048D01* +X0000781800Y0001378948D01* +X0000782700Y0001378848D01* +X0000783599Y0001378748D01* +X0000784499Y0001378748D01* +X0000785299Y0001378848D01* +X0000785900Y0001378948D01* +X0000786800Y0001379048D01* +X0000787100Y0001378848D01* +X0000787399Y0001378448D01* +X0000787600Y0001378048D01* +X0000787600Y0001377548D01* +X0000787499Y0001377148D01* +X0000787300Y0001376848D01* +X0000787100Y0001376648D01* +X0000786899Y0001376548D01* +X0000786700Y0001376448D01* +X0000786500Y0001376448D01* +X0000786200Y0001376348D01* +X0000785799Y0001376348D01* +X0000785299Y0001376248D01* +X0000784699Y0001376248D01* +X0000783899Y0001376248D01* +X0000783000Y0001376148D01* +X0000782299Y0001376148D01* +X0000781399Y0001376148D01* +X0000780599Y0001376148D01* +X0000779799Y0001376148D01* +X0000779100Y0001376048D01* +X0000778499Y0001376048D01* +X0000778100Y0001376048D01* +X0000777800Y0001375948D01* +X0000777800Y0001375948D01* +X0000776600Y0001375748D01* +X0000775600Y0001375448D01* +X0000774700Y0001374948D01* +X0000774000Y0001374448D01* +X0000773400Y0001373848D01* +X0000772999Y0001373248D01* +X0000772900Y0001372948D01* +X0000772800Y0001372648D01* +X0000772699Y0001372348D01* +X0000772699Y0001372048D01* +X0000772699Y0001371548D01* +X0000772699Y0001371548D01* +X0000772699Y0001371548D01* +G36* +X0000821199Y0001382248D02* +X0000821199Y0001381648D01* +X0000821199Y0001381048D01* +X0000821199Y0001380648D01* +X0000821299Y0001380348D01* +X0000821299Y0001380048D01* +X0000821400Y0001379648D01* +X0000821499Y0001379348D01* +X0000821900Y0001378148D01* +X0000822399Y0001376948D01* +X0000823100Y0001375948D01* +X0000823900Y0001375048D01* +X0000824600Y0001374348D01* +X0000825299Y0001373848D01* +X0000826100Y0001373348D01* +X0000826800Y0001372948D01* +X0000827199Y0001372848D01* +X0000827199Y0001382648D01* +X0000827199Y0001383348D01* +X0000827199Y0001383948D01* +X0000827299Y0001384148D01* +X0000827499Y0001385048D01* +X0000827900Y0001385848D01* +X0000828299Y0001386648D01* +X0000828800Y0001387148D01* +X0000829399Y0001387548D01* +X0000829500Y0001387648D01* +X0000829800Y0001387748D01* +X0000829999Y0001387748D01* +X0000830299Y0001387848D01* +X0000830700Y0001387848D01* +X0000831200Y0001387848D01* +X0000831700Y0001387848D01* +X0000832100Y0001387848D01* +X0000832300Y0001387748D01* +X0000832600Y0001387748D01* +X0000832800Y0001387648D01* +X0000832900Y0001387648D01* +X0000833499Y0001387148D01* +X0000834099Y0001386648D01* +X0000834500Y0001385848D01* +X0000834899Y0001385048D01* +X0000835000Y0001384748D01* +X0000835100Y0001384248D01* +X0000835100Y0001383648D01* +X0000835199Y0001382948D01* +X0000835199Y0001382248D01* +X0000835199Y0001381648D01* +X0000835199Y0001381048D01* +X0000835100Y0001380848D01* +X0000834899Y0001379748D01* +X0000834599Y0001378848D01* +X0000834099Y0001378148D01* +X0000833600Y0001377548D01* +X0000832900Y0001377148D01* +X0000832600Y0001376948D01* +X0000832100Y0001376848D01* +X0000831500Y0001376748D01* +X0000830799Y0001376748D01* +X0000830199Y0001376848D01* +X0000829699Y0001376948D01* +X0000829100Y0001377348D01* +X0000828500Y0001377848D01* +X0000828000Y0001378448D01* +X0000827600Y0001379248D01* +X0000827400Y0001379948D01* +X0000827299Y0001380448D01* +X0000827199Y0001381148D01* +X0000827199Y0001381848D01* +X0000827199Y0001382648D01* +X0000827199Y0001372848D01* +X0000827700Y0001372548D01* +X0000828599Y0001372348D01* +X0000829699Y0001372148D01* +X0000830100Y0001372048D01* +X0000830600Y0001372048D01* +X0000831000Y0001371948D01* +X0000831299Y0001371948D01* +X0000831700Y0001371948D01* +X0000832000Y0001372048D01* +X0000833400Y0001372248D01* +X0000834800Y0001372648D01* +X0000835999Y0001373148D01* +X0000837200Y0001373848D01* +X0000838199Y0001374748D01* +X0000839100Y0001375748D01* +X0000839799Y0001376848D01* +X0000840200Y0001377448D01* +X0000840399Y0001377948D01* +X0000840599Y0001378548D01* +X0000840800Y0001379148D01* +X0000840899Y0001379348D01* +X0000841000Y0001379748D01* +X0000841100Y0001380048D01* +X0000841100Y0001380348D01* +X0000841100Y0001380748D01* +X0000841199Y0001381148D01* +X0000841199Y0001381748D01* +X0000841199Y0001382248D01* +X0000841199Y0001382948D01* +X0000841199Y0001383548D01* +X0000841100Y0001383948D01* +X0000841100Y0001384348D01* +X0000841100Y0001384648D01* +X0000841000Y0001384948D01* +X0000841000Y0001385048D01* +X0000840500Y0001386448D01* +X0000839900Y0001387748D01* +X0000839199Y0001388848D01* +X0000838300Y0001389848D01* +X0000837299Y0001390748D01* +X0000836100Y0001391448D01* +X0000834800Y0001392048D01* +X0000833400Y0001392448D01* +X0000833299Y0001392448D01* +X0000832500Y0001392548D01* +X0000831599Y0001392648D01* +X0000830700Y0001392648D01* +X0000829800Y0001392548D01* +X0000829199Y0001392448D01* +X0000827799Y0001392148D01* +X0000826500Y0001391548D01* +X0000825399Y0001390848D01* +X0000824299Y0001390048D01* +X0000823399Y0001389048D01* +X0000822599Y0001387948D01* +X0000822000Y0001386748D01* +X0000821499Y0001385348D01* +X0000821400Y0001385048D01* +X0000821299Y0001384748D01* +X0000821299Y0001384448D01* +X0000821199Y0001384048D01* +X0000821199Y0001383648D01* +X0000821199Y0001383148D01* +X0000821199Y0001382548D01* +X0000821199Y0001382248D01* +X0000821199Y0001382248D01* +X0000821199Y0001382248D01* +G37* +X0000821199Y0001382248D02* +X0000821199Y0001381648D01* +X0000821199Y0001381048D01* +X0000821199Y0001380648D01* +X0000821299Y0001380348D01* +X0000821299Y0001380048D01* +X0000821400Y0001379648D01* +X0000821499Y0001379348D01* +X0000821900Y0001378148D01* +X0000822399Y0001376948D01* +X0000823100Y0001375948D01* +X0000823900Y0001375048D01* +X0000824600Y0001374348D01* +X0000825299Y0001373848D01* +X0000826100Y0001373348D01* +X0000826800Y0001372948D01* +X0000827199Y0001372848D01* +X0000827199Y0001382648D01* +X0000827199Y0001383348D01* +X0000827199Y0001383948D01* +X0000827299Y0001384148D01* +X0000827499Y0001385048D01* +X0000827900Y0001385848D01* +X0000828299Y0001386648D01* +X0000828800Y0001387148D01* +X0000829399Y0001387548D01* +X0000829500Y0001387648D01* +X0000829800Y0001387748D01* +X0000829999Y0001387748D01* +X0000830299Y0001387848D01* +X0000830700Y0001387848D01* +X0000831200Y0001387848D01* +X0000831700Y0001387848D01* +X0000832100Y0001387848D01* +X0000832300Y0001387748D01* +X0000832600Y0001387748D01* +X0000832800Y0001387648D01* +X0000832900Y0001387648D01* +X0000833499Y0001387148D01* +X0000834099Y0001386648D01* +X0000834500Y0001385848D01* +X0000834899Y0001385048D01* +X0000835000Y0001384748D01* +X0000835100Y0001384248D01* +X0000835100Y0001383648D01* +X0000835199Y0001382948D01* +X0000835199Y0001382248D01* +X0000835199Y0001381648D01* +X0000835199Y0001381048D01* +X0000835100Y0001380848D01* +X0000834899Y0001379748D01* +X0000834599Y0001378848D01* +X0000834099Y0001378148D01* +X0000833600Y0001377548D01* +X0000832900Y0001377148D01* +X0000832600Y0001376948D01* +X0000832100Y0001376848D01* +X0000831500Y0001376748D01* +X0000830799Y0001376748D01* +X0000830199Y0001376848D01* +X0000829699Y0001376948D01* +X0000829100Y0001377348D01* +X0000828500Y0001377848D01* +X0000828000Y0001378448D01* +X0000827600Y0001379248D01* +X0000827400Y0001379948D01* +X0000827299Y0001380448D01* +X0000827199Y0001381148D01* +X0000827199Y0001381848D01* +X0000827199Y0001382648D01* +X0000827199Y0001372848D01* +X0000827700Y0001372548D01* +X0000828599Y0001372348D01* +X0000829699Y0001372148D01* +X0000830100Y0001372048D01* +X0000830600Y0001372048D01* +X0000831000Y0001371948D01* +X0000831299Y0001371948D01* +X0000831700Y0001371948D01* +X0000832000Y0001372048D01* +X0000833400Y0001372248D01* +X0000834800Y0001372648D01* +X0000835999Y0001373148D01* +X0000837200Y0001373848D01* +X0000838199Y0001374748D01* +X0000839100Y0001375748D01* +X0000839799Y0001376848D01* +X0000840200Y0001377448D01* +X0000840399Y0001377948D01* +X0000840599Y0001378548D01* +X0000840800Y0001379148D01* +X0000840899Y0001379348D01* +X0000841000Y0001379748D01* +X0000841100Y0001380048D01* +X0000841100Y0001380348D01* +X0000841100Y0001380748D01* +X0000841199Y0001381148D01* +X0000841199Y0001381748D01* +X0000841199Y0001382248D01* +X0000841199Y0001382948D01* +X0000841199Y0001383548D01* +X0000841100Y0001383948D01* +X0000841100Y0001384348D01* +X0000841100Y0001384648D01* +X0000841000Y0001384948D01* +X0000841000Y0001385048D01* +X0000840500Y0001386448D01* +X0000839900Y0001387748D01* +X0000839199Y0001388848D01* +X0000838300Y0001389848D01* +X0000837299Y0001390748D01* +X0000836100Y0001391448D01* +X0000834800Y0001392048D01* +X0000833400Y0001392448D01* +X0000833299Y0001392448D01* +X0000832500Y0001392548D01* +X0000831599Y0001392648D01* +X0000830700Y0001392648D01* +X0000829800Y0001392548D01* +X0000829199Y0001392448D01* +X0000827799Y0001392148D01* +X0000826500Y0001391548D01* +X0000825399Y0001390848D01* +X0000824299Y0001390048D01* +X0000823399Y0001389048D01* +X0000822599Y0001387948D01* +X0000822000Y0001386748D01* +X0000821499Y0001385348D01* +X0000821400Y0001385048D01* +X0000821299Y0001384748D01* +X0000821299Y0001384448D01* +X0000821199Y0001384048D01* +X0000821199Y0001383648D01* +X0000821199Y0001383148D01* +X0000821199Y0001382548D01* +X0000821199Y0001382248D01* +X0000821199Y0001382248D01* +G36* +X0000726399Y0001372448D02* +X0000728799Y0001372448D01* +X0000731100Y0001372448D01* +X0000731399Y0001373548D01* +X0000731399Y0001373948D01* +X0000731499Y0001374348D01* +X0000731599Y0001374548D01* +X0000731599Y0001374648D01* +X0000731700Y0001374648D01* +X0000731900Y0001374548D01* +X0000732200Y0001374348D01* +X0000732200Y0001378348D01* +X0000732200Y0001380048D01* +X0000732200Y0001381648D01* +X0000732700Y0001381648D01* +X0000733900Y0001381548D01* +X0000735099Y0001381348D01* +X0000736100Y0001381148D01* +X0000737100Y0001380948D01* +X0000737499Y0001380748D01* +X0000738200Y0001380448D01* +X0000738800Y0001380048D01* +X0000739199Y0001379648D01* +X0000739499Y0001379148D01* +X0000739600Y0001378548D01* +X0000739600Y0001378348D01* +X0000739499Y0001377948D01* +X0000739499Y0001377648D01* +X0000739300Y0001377448D01* +X0000739000Y0001377048D01* +X0000738500Y0001376848D01* +X0000737900Y0001376648D01* +X0000737200Y0001376548D01* +X0000736399Y0001376548D01* +X0000735599Y0001376648D01* +X0000735300Y0001376748D01* +X0000734799Y0001376948D01* +X0000734200Y0001377148D01* +X0000733600Y0001377448D01* +X0000733000Y0001377848D01* +X0000732899Y0001377848D01* +X0000732200Y0001378348D01* +X0000732200Y0001374348D01* +X0000732200Y0001374348D01* +X0000732500Y0001374148D01* +X0000733499Y0001373548D01* +X0000734599Y0001372948D01* +X0000735599Y0001372548D01* +X0000736600Y0001372248D01* +X0000736999Y0001372148D01* +X0000737700Y0001372048D01* +X0000738399Y0001372048D01* +X0000739100Y0001372048D01* +X0000739699Y0001372048D01* +X0000739699Y0001372048D01* +X0000740900Y0001372248D01* +X0000741899Y0001372648D01* +X0000742800Y0001373248D01* +X0000743599Y0001373848D01* +X0000744200Y0001374648D01* +X0000744700Y0001375548D01* +X0000745000Y0001376448D01* +X0000745100Y0001376948D01* +X0000745199Y0001377548D01* +X0000745199Y0001378148D01* +X0000745100Y0001378848D01* +X0000745100Y0001379348D01* +X0000745100Y0001379348D01* +X0000744800Y0001380248D01* +X0000744300Y0001381148D01* +X0000743700Y0001381848D01* +X0000742900Y0001382548D01* +X0000742300Y0001382948D01* +X0000741399Y0001383448D01* +X0000740299Y0001383848D01* +X0000739000Y0001384248D01* +X0000737599Y0001384548D01* +X0000736100Y0001384748D01* +X0000734399Y0001384948D01* +X0000733600Y0001385048D01* +X0000732200Y0001385148D01* +X0000732200Y0001385448D01* +X0000732500Y0001386148D01* +X0000732899Y0001386848D01* +X0000733499Y0001387348D01* +X0000734200Y0001387648D01* +X0000735000Y0001387848D01* +X0000736000Y0001388048D01* +X0000737100Y0001387948D01* +X0000738300Y0001387748D01* +X0000739499Y0001387448D01* +X0000740799Y0001386948D01* +X0000741500Y0001386648D01* +X0000741899Y0001386448D01* +X0000742199Y0001386348D01* +X0000742399Y0001386248D01* +X0000742499Y0001386248D01* +X0000742499Y0001386348D01* +X0000742699Y0001386648D01* +X0000742900Y0001386948D01* +X0000743100Y0001387348D01* +X0000743400Y0001387848D01* +X0000743599Y0001388348D01* +X0000743900Y0001388848D01* +X0000744099Y0001389248D01* +X0000744300Y0001389648D01* +X0000744399Y0001389948D01* +X0000744500Y0001390048D01* +X0000744500Y0001390048D01* +X0000744200Y0001390148D01* +X0000743900Y0001390348D01* +X0000743400Y0001390648D01* +X0000742800Y0001390848D01* +X0000742199Y0001391148D01* +X0000741500Y0001391448D01* +X0000740900Y0001391648D01* +X0000740299Y0001391848D01* +X0000740100Y0001391948D01* +X0000739100Y0001392248D01* +X0000737900Y0001392448D01* +X0000736699Y0001392548D01* +X0000735599Y0001392648D01* +X0000734399Y0001392648D01* +X0000733399Y0001392548D01* +X0000733000Y0001392448D01* +X0000731700Y0001392148D01* +X0000730499Y0001391648D01* +X0000729500Y0001391048D01* +X0000728599Y0001390348D01* +X0000727900Y0001389448D01* +X0000727300Y0001388448D01* +X0000727100Y0001387948D01* +X0000726899Y0001387648D01* +X0000726800Y0001387448D01* +X0000726800Y0001387148D01* +X0000726700Y0001386848D01* +X0000726599Y0001386648D01* +X0000726599Y0001386248D01* +X0000726500Y0001385948D01* +X0000726500Y0001385448D01* +X0000726500Y0001384948D01* +X0000726399Y0001384348D01* +X0000726399Y0001383548D01* +X0000726399Y0001382648D01* +X0000726399Y0001381648D01* +X0000726399Y0001380448D01* +X0000726399Y0001379148D01* +X0000726399Y0001372448D01* +X0000726399Y0001372448D01* +X0000726399Y0001372448D01* +G37* +X0000726399Y0001372448D02* +X0000728799Y0001372448D01* +X0000731100Y0001372448D01* +X0000731399Y0001373548D01* +X0000731399Y0001373948D01* +X0000731499Y0001374348D01* +X0000731599Y0001374548D01* +X0000731599Y0001374648D01* +X0000731700Y0001374648D01* +X0000731900Y0001374548D01* +X0000732200Y0001374348D01* +X0000732200Y0001378348D01* +X0000732200Y0001380048D01* +X0000732200Y0001381648D01* +X0000732700Y0001381648D01* +X0000733900Y0001381548D01* +X0000735099Y0001381348D01* +X0000736100Y0001381148D01* +X0000737100Y0001380948D01* +X0000737499Y0001380748D01* +X0000738200Y0001380448D01* +X0000738800Y0001380048D01* +X0000739199Y0001379648D01* +X0000739499Y0001379148D01* +X0000739600Y0001378548D01* +X0000739600Y0001378348D01* +X0000739499Y0001377948D01* +X0000739499Y0001377648D01* +X0000739300Y0001377448D01* +X0000739000Y0001377048D01* +X0000738500Y0001376848D01* +X0000737900Y0001376648D01* +X0000737200Y0001376548D01* +X0000736399Y0001376548D01* +X0000735599Y0001376648D01* +X0000735300Y0001376748D01* +X0000734799Y0001376948D01* +X0000734200Y0001377148D01* +X0000733600Y0001377448D01* +X0000733000Y0001377848D01* +X0000732899Y0001377848D01* +X0000732200Y0001378348D01* +X0000732200Y0001374348D01* +X0000732200Y0001374348D01* +X0000732500Y0001374148D01* +X0000733499Y0001373548D01* +X0000734599Y0001372948D01* +X0000735599Y0001372548D01* +X0000736600Y0001372248D01* +X0000736999Y0001372148D01* +X0000737700Y0001372048D01* +X0000738399Y0001372048D01* +X0000739100Y0001372048D01* +X0000739699Y0001372048D01* +X0000739699Y0001372048D01* +X0000740900Y0001372248D01* +X0000741899Y0001372648D01* +X0000742800Y0001373248D01* +X0000743599Y0001373848D01* +X0000744200Y0001374648D01* +X0000744700Y0001375548D01* +X0000745000Y0001376448D01* +X0000745100Y0001376948D01* +X0000745199Y0001377548D01* +X0000745199Y0001378148D01* +X0000745100Y0001378848D01* +X0000745100Y0001379348D01* +X0000745100Y0001379348D01* +X0000744800Y0001380248D01* +X0000744300Y0001381148D01* +X0000743700Y0001381848D01* +X0000742900Y0001382548D01* +X0000742300Y0001382948D01* +X0000741399Y0001383448D01* +X0000740299Y0001383848D01* +X0000739000Y0001384248D01* +X0000737599Y0001384548D01* +X0000736100Y0001384748D01* +X0000734399Y0001384948D01* +X0000733600Y0001385048D01* +X0000732200Y0001385148D01* +X0000732200Y0001385448D01* +X0000732500Y0001386148D01* +X0000732899Y0001386848D01* +X0000733499Y0001387348D01* +X0000734200Y0001387648D01* +X0000735000Y0001387848D01* +X0000736000Y0001388048D01* +X0000737100Y0001387948D01* +X0000738300Y0001387748D01* +X0000739499Y0001387448D01* +X0000740799Y0001386948D01* +X0000741500Y0001386648D01* +X0000741899Y0001386448D01* +X0000742199Y0001386348D01* +X0000742399Y0001386248D01* +X0000742499Y0001386248D01* +X0000742499Y0001386348D01* +X0000742699Y0001386648D01* +X0000742900Y0001386948D01* +X0000743100Y0001387348D01* +X0000743400Y0001387848D01* +X0000743599Y0001388348D01* +X0000743900Y0001388848D01* +X0000744099Y0001389248D01* +X0000744300Y0001389648D01* +X0000744399Y0001389948D01* +X0000744500Y0001390048D01* +X0000744500Y0001390048D01* +X0000744200Y0001390148D01* +X0000743900Y0001390348D01* +X0000743400Y0001390648D01* +X0000742800Y0001390848D01* +X0000742199Y0001391148D01* +X0000741500Y0001391448D01* +X0000740900Y0001391648D01* +X0000740299Y0001391848D01* +X0000740100Y0001391948D01* +X0000739100Y0001392248D01* +X0000737900Y0001392448D01* +X0000736699Y0001392548D01* +X0000735599Y0001392648D01* +X0000734399Y0001392648D01* +X0000733399Y0001392548D01* +X0000733000Y0001392448D01* +X0000731700Y0001392148D01* +X0000730499Y0001391648D01* +X0000729500Y0001391048D01* +X0000728599Y0001390348D01* +X0000727900Y0001389448D01* +X0000727300Y0001388448D01* +X0000727100Y0001387948D01* +X0000726899Y0001387648D01* +X0000726800Y0001387448D01* +X0000726800Y0001387148D01* +X0000726700Y0001386848D01* +X0000726599Y0001386648D01* +X0000726599Y0001386248D01* +X0000726500Y0001385948D01* +X0000726500Y0001385448D01* +X0000726500Y0001384948D01* +X0000726399Y0001384348D01* +X0000726399Y0001383548D01* +X0000726399Y0001382648D01* +X0000726399Y0001381648D01* +X0000726399Y0001380448D01* +X0000726399Y0001379148D01* +X0000726399Y0001372448D01* +X0000726399Y0001372448D01* +G36* +X0000654500Y0001383948D02* +X0000654500Y0001383448D01* +X0000654500Y0001382748D01* +X0000654500Y0001382248D01* +X0000654500Y0001381648D01* +X0000654599Y0001381248D01* +X0000654599Y0001380948D01* +X0000654599Y0001380848D01* +X0000654699Y0001380648D01* +X0000659700Y0001380648D01* +X0000659700Y0001384548D01* +X0000659799Y0001384948D01* +X0000659900Y0001385848D01* +X0000660200Y0001386548D01* +X0000660599Y0001387148D01* +X0000661199Y0001387648D01* +X0000661899Y0001387948D01* +X0000662700Y0001388148D01* +X0000663500Y0001388148D01* +X0000664400Y0001388148D01* +X0000665299Y0001387948D01* +X0000666000Y0001387548D01* +X0000666699Y0001386948D01* +X0000667100Y0001386648D01* +X0000667400Y0001386148D01* +X0000667600Y0001385648D01* +X0000667800Y0001385148D01* +X0000667899Y0001384848D01* +X0000667999Y0001384448D01* +X0000663899Y0001384448D01* +X0000659700Y0001384548D01* +X0000659700Y0001380648D01* +X0000661300Y0001380648D01* +X0000667999Y0001380648D01* +X0000667899Y0001380348D01* +X0000667600Y0001379448D01* +X0000667300Y0001378648D01* +X0000666699Y0001377948D01* +X0000666099Y0001377448D01* +X0000665400Y0001377148D01* +X0000664699Y0001376848D01* +X0000663899Y0001376648D01* +X0000663099Y0001376548D01* +X0000662100Y0001376448D01* +X0000661800Y0001376548D01* +X0000660800Y0001376548D01* +X0000660000Y0001376748D01* +X0000659100Y0001376948D01* +X0000658300Y0001377248D01* +X0000658000Y0001377448D01* +X0000657599Y0001377548D01* +X0000657300Y0001377648D01* +X0000657099Y0001377748D01* +X0000657099Y0001377748D01* +X0000657099Y0001377648D01* +X0000656900Y0001377348D01* +X0000656700Y0001377048D01* +X0000656400Y0001376548D01* +X0000656200Y0001376048D01* +X0000656100Y0001375948D01* +X0000655799Y0001375348D01* +X0000655600Y0001374948D01* +X0000655400Y0001374648D01* +X0000655300Y0001374348D01* +X0000655300Y0001374248D01* +X0000655400Y0001374148D01* +X0000655499Y0001374148D01* +X0000655799Y0001373948D01* +X0000656200Y0001373748D01* +X0000656599Y0001373548D01* +X0000656700Y0001373548D01* +X0000657800Y0001373048D01* +X0000658899Y0001372648D01* +X0000660000Y0001372348D01* +X0000661100Y0001372148D01* +X0000661899Y0001372048D01* +X0000662400Y0001372048D01* +X0000662799Y0001371948D01* +X0000663200Y0001371948D01* +X0000663599Y0001371948D01* +X0000664100Y0001372048D01* +X0000664400Y0001372048D01* +X0000665900Y0001372248D01* +X0000667300Y0001372648D01* +X0000668599Y0001373248D01* +X0000669699Y0001373948D01* +X0000670800Y0001374748D01* +X0000671700Y0001375648D01* +X0000672500Y0001376748D01* +X0000673100Y0001377948D01* +X0000673400Y0001378748D01* +X0000673699Y0001379948D01* +X0000673900Y0001381148D01* +X0000673999Y0001382448D01* +X0000673900Y0001383748D01* +X0000673699Y0001384948D01* +X0000673300Y0001386148D01* +X0000673199Y0001386448D01* +X0000672500Y0001387748D01* +X0000671799Y0001388848D01* +X0000670800Y0001389848D01* +X0000669800Y0001390748D01* +X0000668599Y0001391448D01* +X0000667300Y0001392048D01* +X0000665900Y0001392448D01* +X0000665499Y0001392548D01* +X0000664899Y0001392648D01* +X0000664199Y0001392648D01* +X0000663500Y0001392648D01* +X0000662700Y0001392648D01* +X0000661999Y0001392548D01* +X0000661300Y0001392448D01* +X0000661300Y0001392448D01* +X0000660099Y0001392148D01* +X0000658899Y0001391548D01* +X0000657899Y0001390948D01* +X0000657000Y0001390148D01* +X0000656799Y0001389948D01* +X0000656100Y0001389048D01* +X0000655499Y0001388148D01* +X0000655100Y0001387048D01* +X0000654699Y0001385848D01* +X0000654699Y0001385548D01* +X0000654599Y0001385148D01* +X0000654500Y0001384748D01* +X0000654500Y0001384348D01* +X0000654500Y0001383948D01* +X0000654500Y0001383948D01* +X0000654500Y0001383948D01* +G37* +X0000654500Y0001383948D02* +X0000654500Y0001383448D01* +X0000654500Y0001382748D01* +X0000654500Y0001382248D01* +X0000654500Y0001381648D01* +X0000654599Y0001381248D01* +X0000654599Y0001380948D01* +X0000654599Y0001380848D01* +X0000654699Y0001380648D01* +X0000659700Y0001380648D01* +X0000659700Y0001384548D01* +X0000659799Y0001384948D01* +X0000659900Y0001385848D01* +X0000660200Y0001386548D01* +X0000660599Y0001387148D01* +X0000661199Y0001387648D01* +X0000661899Y0001387948D01* +X0000662700Y0001388148D01* +X0000663500Y0001388148D01* +X0000664400Y0001388148D01* +X0000665299Y0001387948D01* +X0000666000Y0001387548D01* +X0000666699Y0001386948D01* +X0000667100Y0001386648D01* +X0000667400Y0001386148D01* +X0000667600Y0001385648D01* +X0000667800Y0001385148D01* +X0000667899Y0001384848D01* +X0000667999Y0001384448D01* +X0000663899Y0001384448D01* +X0000659700Y0001384548D01* +X0000659700Y0001380648D01* +X0000661300Y0001380648D01* +X0000667999Y0001380648D01* +X0000667899Y0001380348D01* +X0000667600Y0001379448D01* +X0000667300Y0001378648D01* +X0000666699Y0001377948D01* +X0000666099Y0001377448D01* +X0000665400Y0001377148D01* +X0000664699Y0001376848D01* +X0000663899Y0001376648D01* +X0000663099Y0001376548D01* +X0000662100Y0001376448D01* +X0000661800Y0001376548D01* +X0000660800Y0001376548D01* +X0000660000Y0001376748D01* +X0000659100Y0001376948D01* +X0000658300Y0001377248D01* +X0000658000Y0001377448D01* +X0000657599Y0001377548D01* +X0000657300Y0001377648D01* +X0000657099Y0001377748D01* +X0000657099Y0001377748D01* +X0000657099Y0001377648D01* +X0000656900Y0001377348D01* +X0000656700Y0001377048D01* +X0000656400Y0001376548D01* +X0000656200Y0001376048D01* +X0000656100Y0001375948D01* +X0000655799Y0001375348D01* +X0000655600Y0001374948D01* +X0000655400Y0001374648D01* +X0000655300Y0001374348D01* +X0000655300Y0001374248D01* +X0000655400Y0001374148D01* +X0000655499Y0001374148D01* +X0000655799Y0001373948D01* +X0000656200Y0001373748D01* +X0000656599Y0001373548D01* +X0000656700Y0001373548D01* +X0000657800Y0001373048D01* +X0000658899Y0001372648D01* +X0000660000Y0001372348D01* +X0000661100Y0001372148D01* +X0000661899Y0001372048D01* +X0000662400Y0001372048D01* +X0000662799Y0001371948D01* +X0000663200Y0001371948D01* +X0000663599Y0001371948D01* +X0000664100Y0001372048D01* +X0000664400Y0001372048D01* +X0000665900Y0001372248D01* +X0000667300Y0001372648D01* +X0000668599Y0001373248D01* +X0000669699Y0001373948D01* +X0000670800Y0001374748D01* +X0000671700Y0001375648D01* +X0000672500Y0001376748D01* +X0000673100Y0001377948D01* +X0000673400Y0001378748D01* +X0000673699Y0001379948D01* +X0000673900Y0001381148D01* +X0000673999Y0001382448D01* +X0000673900Y0001383748D01* +X0000673699Y0001384948D01* +X0000673300Y0001386148D01* +X0000673199Y0001386448D01* +X0000672500Y0001387748D01* +X0000671799Y0001388848D01* +X0000670800Y0001389848D01* +X0000669800Y0001390748D01* +X0000668599Y0001391448D01* +X0000667300Y0001392048D01* +X0000665900Y0001392448D01* +X0000665499Y0001392548D01* +X0000664899Y0001392648D01* +X0000664199Y0001392648D01* +X0000663500Y0001392648D01* +X0000662700Y0001392648D01* +X0000661999Y0001392548D01* +X0000661300Y0001392448D01* +X0000661300Y0001392448D01* +X0000660099Y0001392148D01* +X0000658899Y0001391548D01* +X0000657899Y0001390948D01* +X0000657000Y0001390148D01* +X0000656799Y0001389948D01* +X0000656100Y0001389048D01* +X0000655499Y0001388148D01* +X0000655100Y0001387048D01* +X0000654699Y0001385848D01* +X0000654699Y0001385548D01* +X0000654599Y0001385148D01* +X0000654500Y0001384748D01* +X0000654500Y0001384348D01* +X0000654500Y0001383948D01* +X0000654500Y0001383948D01* +G36* +X0000631300Y0001372448D02* +X0000633699Y0001372448D01* +X0000634400Y0001372448D01* +X0000634999Y0001372448D01* +X0000635400Y0001372448D01* +X0000635700Y0001372448D01* +X0000635900Y0001372448D01* +X0000636000Y0001372548D01* +X0000636099Y0001372548D01* +X0000636099Y0001372648D01* +X0000636099Y0001372748D01* +X0000636200Y0001373048D01* +X0000636300Y0001373448D01* +X0000636300Y0001373648D01* +X0000636399Y0001374048D01* +X0000636399Y0001374348D01* +X0000636500Y0001374448D01* +X0000636500Y0001374448D01* +X0000636599Y0001374448D01* +X0000636800Y0001374348D01* +X0000637100Y0001374048D01* +X0000637100Y0001374048D01* +X0000637100Y0001378548D01* +X0000637199Y0001382648D01* +X0000637199Y0001386648D01* +X0000637699Y0001387048D01* +X0000638499Y0001387448D01* +X0000639300Y0001387748D01* +X0000640300Y0001387848D01* +X0000640400Y0001387848D01* +X0000640900Y0001387848D01* +X0000641200Y0001387848D01* +X0000641499Y0001387748D01* +X0000641900Y0001387648D01* +X0000641900Y0001387548D01* +X0000642599Y0001387148D01* +X0000643199Y0001386548D01* +X0000643699Y0001385748D01* +X0000644100Y0001384848D01* +X0000644200Y0001384548D01* +X0000644299Y0001384148D01* +X0000644299Y0001383548D01* +X0000644400Y0001382848D01* +X0000644400Y0001382148D01* +X0000644299Y0001381348D01* +X0000644299Y0001380748D01* +X0000644200Y0001380148D01* +X0000644200Y0001379948D01* +X0000643900Y0001379048D01* +X0000643499Y0001378348D01* +X0000643199Y0001377848D01* +X0000642699Y0001377348D01* +X0000642099Y0001377048D01* +X0000641499Y0001376848D01* +X0000640699Y0001376848D01* +X0000640400Y0001376848D01* +X0000639899Y0001376848D01* +X0000639500Y0001376948D01* +X0000639099Y0001377048D01* +X0000638900Y0001377148D01* +X0000638200Y0001377548D01* +X0000637699Y0001378048D01* +X0000637100Y0001378548D01* +X0000637100Y0001374048D01* +X0000637300Y0001373848D01* +X0000637999Y0001373448D01* +X0000638599Y0001373048D01* +X0000639300Y0001372648D01* +X0000640000Y0001372348D01* +X0000640499Y0001372248D01* +X0000641200Y0001372048D01* +X0000642099Y0001372048D01* +X0000642899Y0001372048D01* +X0000643199Y0001372048D01* +X0000643900Y0001372148D01* +X0000644700Y0001372448D01* +X0000645399Y0001372648D01* +X0000646100Y0001373048D01* +X0000646199Y0001373048D01* +X0000646699Y0001373448D01* +X0000647299Y0001373848D01* +X0000647799Y0001374448D01* +X0000648300Y0001374948D01* +X0000648699Y0001375548D01* +X0000648699Y0001375548D01* +X0000649300Y0001376648D01* +X0000649799Y0001377848D01* +X0000650100Y0001379248D01* +X0000650299Y0001380648D01* +X0000650400Y0001382048D01* +X0000650400Y0001383448D01* +X0000650200Y0001384848D01* +X0000650200Y0001384948D01* +X0000649799Y0001386248D01* +X0000649300Y0001387548D01* +X0000648699Y0001388648D01* +X0000648000Y0001389648D01* +X0000647100Y0001390548D01* +X0000646199Y0001391348D01* +X0000645099Y0001391948D01* +X0000643999Y0001392348D01* +X0000643600Y0001392448D01* +X0000643000Y0001392548D01* +X0000642300Y0001392648D01* +X0000641599Y0001392648D01* +X0000640999Y0001392648D01* +X0000640400Y0001392548D01* +X0000640199Y0001392448D01* +X0000639500Y0001392248D01* +X0000638799Y0001391848D01* +X0000637999Y0001391448D01* +X0000637600Y0001391148D01* +X0000637300Y0001390948D01* +X0000637100Y0001390748D01* +X0000637000Y0001390648D01* +X0000637000Y0001390648D01* +X0000637000Y0001390848D01* +X0000637000Y0001391048D01* +X0000637000Y0001391448D01* +X0000637000Y0001391948D01* +X0000637000Y0001392148D01* +X0000637000Y0001392548D01* +X0000637100Y0001393148D01* +X0000637100Y0001393848D01* +X0000637100Y0001394648D01* +X0000637100Y0001395548D01* +X0000637100Y0001396448D01* +X0000637100Y0001396948D01* +X0000637100Y0001400448D01* +X0000634199Y0001400448D01* +X0000631300Y0001400448D01* +X0000631300Y0001386448D01* +X0000631300Y0001372448D01* +X0000631300Y0001372448D01* +X0000631300Y0001372448D01* +G37* +X0000631300Y0001372448D02* +X0000633699Y0001372448D01* +X0000634400Y0001372448D01* +X0000634999Y0001372448D01* +X0000635400Y0001372448D01* +X0000635700Y0001372448D01* +X0000635900Y0001372448D01* +X0000636000Y0001372548D01* +X0000636099Y0001372548D01* +X0000636099Y0001372648D01* +X0000636099Y0001372748D01* +X0000636200Y0001373048D01* +X0000636300Y0001373448D01* +X0000636300Y0001373648D01* +X0000636399Y0001374048D01* +X0000636399Y0001374348D01* +X0000636500Y0001374448D01* +X0000636500Y0001374448D01* +X0000636599Y0001374448D01* +X0000636800Y0001374348D01* +X0000637100Y0001374048D01* +X0000637100Y0001374048D01* +X0000637100Y0001378548D01* +X0000637199Y0001382648D01* +X0000637199Y0001386648D01* +X0000637699Y0001387048D01* +X0000638499Y0001387448D01* +X0000639300Y0001387748D01* +X0000640300Y0001387848D01* +X0000640400Y0001387848D01* +X0000640900Y0001387848D01* +X0000641200Y0001387848D01* +X0000641499Y0001387748D01* +X0000641900Y0001387648D01* +X0000641900Y0001387548D01* +X0000642599Y0001387148D01* +X0000643199Y0001386548D01* +X0000643699Y0001385748D01* +X0000644100Y0001384848D01* +X0000644200Y0001384548D01* +X0000644299Y0001384148D01* +X0000644299Y0001383548D01* +X0000644400Y0001382848D01* +X0000644400Y0001382148D01* +X0000644299Y0001381348D01* +X0000644299Y0001380748D01* +X0000644200Y0001380148D01* +X0000644200Y0001379948D01* +X0000643900Y0001379048D01* +X0000643499Y0001378348D01* +X0000643199Y0001377848D01* +X0000642699Y0001377348D01* +X0000642099Y0001377048D01* +X0000641499Y0001376848D01* +X0000640699Y0001376848D01* +X0000640400Y0001376848D01* +X0000639899Y0001376848D01* +X0000639500Y0001376948D01* +X0000639099Y0001377048D01* +X0000638900Y0001377148D01* +X0000638200Y0001377548D01* +X0000637699Y0001378048D01* +X0000637100Y0001378548D01* +X0000637100Y0001374048D01* +X0000637300Y0001373848D01* +X0000637999Y0001373448D01* +X0000638599Y0001373048D01* +X0000639300Y0001372648D01* +X0000640000Y0001372348D01* +X0000640499Y0001372248D01* +X0000641200Y0001372048D01* +X0000642099Y0001372048D01* +X0000642899Y0001372048D01* +X0000643199Y0001372048D01* +X0000643900Y0001372148D01* +X0000644700Y0001372448D01* +X0000645399Y0001372648D01* +X0000646100Y0001373048D01* +X0000646199Y0001373048D01* +X0000646699Y0001373448D01* +X0000647299Y0001373848D01* +X0000647799Y0001374448D01* +X0000648300Y0001374948D01* +X0000648699Y0001375548D01* +X0000648699Y0001375548D01* +X0000649300Y0001376648D01* +X0000649799Y0001377848D01* +X0000650100Y0001379248D01* +X0000650299Y0001380648D01* +X0000650400Y0001382048D01* +X0000650400Y0001383448D01* +X0000650200Y0001384848D01* +X0000650200Y0001384948D01* +X0000649799Y0001386248D01* +X0000649300Y0001387548D01* +X0000648699Y0001388648D01* +X0000648000Y0001389648D01* +X0000647100Y0001390548D01* +X0000646199Y0001391348D01* +X0000645099Y0001391948D01* +X0000643999Y0001392348D01* +X0000643600Y0001392448D01* +X0000643000Y0001392548D01* +X0000642300Y0001392648D01* +X0000641599Y0001392648D01* +X0000640999Y0001392648D01* +X0000640400Y0001392548D01* +X0000640199Y0001392448D01* +X0000639500Y0001392248D01* +X0000638799Y0001391848D01* +X0000637999Y0001391448D01* +X0000637600Y0001391148D01* +X0000637300Y0001390948D01* +X0000637100Y0001390748D01* +X0000637000Y0001390648D01* +X0000637000Y0001390648D01* +X0000637000Y0001390848D01* +X0000637000Y0001391048D01* +X0000637000Y0001391448D01* +X0000637000Y0001391948D01* +X0000637000Y0001392148D01* +X0000637000Y0001392548D01* +X0000637100Y0001393148D01* +X0000637100Y0001393848D01* +X0000637100Y0001394648D01* +X0000637100Y0001395548D01* +X0000637100Y0001396448D01* +X0000637100Y0001396948D01* +X0000637100Y0001400448D01* +X0000634199Y0001400448D01* +X0000631300Y0001400448D01* +X0000631300Y0001386448D01* +X0000631300Y0001372448D01* +X0000631300Y0001372448D01* +G36* +X0000583199Y0001372448D02* +X0000585599Y0001372448D01* +X0000588000Y0001372448D01* +X0000588200Y0001373548D01* +X0000588300Y0001373948D01* +X0000588399Y0001374348D01* +X0000588500Y0001374548D01* +X0000588500Y0001374648D01* +X0000588599Y0001374648D01* +X0000588800Y0001374548D01* +X0000589100Y0001374348D01* +X0000589199Y0001374348D01* +X0000589199Y0001378348D01* +X0000589199Y0001380048D01* +X0000589199Y0001381648D01* +X0000590100Y0001381548D01* +X0000591599Y0001381448D01* +X0000592799Y0001381248D01* +X0000593899Y0001380948D01* +X0000594699Y0001380648D01* +X0000595400Y0001380248D01* +X0000595999Y0001379848D01* +X0000596299Y0001379348D01* +X0000596400Y0001379048D01* +X0000596500Y0001378448D01* +X0000596400Y0001377848D01* +X0000596200Y0001377348D01* +X0000595799Y0001377048D01* +X0000595499Y0001376848D01* +X0000594899Y0001376648D01* +X0000594200Y0001376548D01* +X0000593500Y0001376548D01* +X0000592699Y0001376648D01* +X0000592100Y0001376748D01* +X0000591399Y0001377048D01* +X0000590799Y0001377348D01* +X0000590100Y0001377748D01* +X0000589699Y0001377948D01* +X0000589199Y0001378348D01* +X0000589199Y0001374348D01* +X0000589499Y0001374148D01* +X0000590799Y0001373348D01* +X0000592100Y0001372748D01* +X0000593500Y0001372248D01* +X0000594800Y0001372048D01* +X0000596100Y0001372048D01* +X0000596700Y0001372048D01* +X0000597599Y0001372248D01* +X0000598499Y0001372548D01* +X0000599400Y0001372948D01* +X0000600099Y0001373448D01* +X0000600500Y0001373848D01* +X0000601199Y0001374648D01* +X0000601600Y0001375548D01* +X0000601900Y0001376448D01* +X0000602100Y0001377548D01* +X0000602100Y0001377948D01* +X0000601999Y0001379048D01* +X0000601799Y0001380048D01* +X0000601400Y0001380848D01* +X0000600800Y0001381648D01* +X0000600099Y0001382348D01* +X0000599100Y0001383048D01* +X0000598799Y0001383148D01* +X0000597899Y0001383548D01* +X0000596799Y0001383948D01* +X0000595600Y0001384248D01* +X0000594200Y0001384548D01* +X0000592799Y0001384748D01* +X0000591200Y0001384948D01* +X0000589999Y0001385148D01* +X0000589600Y0001385148D01* +X0000589300Y0001385148D01* +X0000589199Y0001385348D01* +X0000589199Y0001385448D01* +X0000589199Y0001385748D01* +X0000589300Y0001385948D01* +X0000589600Y0001386548D01* +X0000590100Y0001387048D01* +X0000590700Y0001387448D01* +X0000591399Y0001387748D01* +X0000592199Y0001387948D01* +X0000593100Y0001388048D01* +X0000594099Y0001387948D01* +X0000595100Y0001387748D01* +X0000596200Y0001387548D01* +X0000596500Y0001387448D01* +X0000597000Y0001387248D01* +X0000597599Y0001386948D01* +X0000598100Y0001386748D01* +X0000598400Y0001386648D01* +X0000598799Y0001386448D01* +X0000599100Y0001386348D01* +X0000599299Y0001386248D01* +X0000599400Y0001386248D01* +X0000599400Y0001386348D01* +X0000599500Y0001386548D01* +X0000599700Y0001386948D01* +X0000600000Y0001387348D01* +X0000600200Y0001387848D01* +X0000600500Y0001388348D01* +X0000600800Y0001388848D01* +X0000601000Y0001389248D01* +X0000601199Y0001389548D01* +X0000601300Y0001389848D01* +X0000601300Y0001389948D01* +X0000601300Y0001389948D01* +X0000601199Y0001390048D01* +X0000601000Y0001390248D01* +X0000600699Y0001390448D01* +X0000600200Y0001390648D01* +X0000600099Y0001390748D01* +X0000598400Y0001391448D01* +X0000596700Y0001392048D01* +X0000595199Y0001392448D01* +X0000594399Y0001392548D01* +X0000593799Y0001392548D01* +X0000593100Y0001392648D01* +X0000592300Y0001392648D01* +X0000591500Y0001392648D01* +X0000590799Y0001392548D01* +X0000590100Y0001392548D01* +X0000589900Y0001392448D01* +X0000588699Y0001392148D01* +X0000587500Y0001391748D01* +X0000586499Y0001391148D01* +X0000585599Y0001390348D01* +X0000584799Y0001389548D01* +X0000584200Y0001388548D01* +X0000583799Y0001387448D01* +X0000583600Y0001387048D01* +X0000583600Y0001386648D01* +X0000583499Y0001386348D01* +X0000583400Y0001386048D01* +X0000583400Y0001385648D01* +X0000583400Y0001385248D01* +X0000583300Y0001384748D01* +X0000583300Y0001384148D01* +X0000583300Y0001383448D01* +X0000583300Y0001382648D01* +X0000583300Y0001381748D01* +X0000583199Y0001380648D01* +X0000583199Y0001379448D01* +X0000583199Y0001378448D01* +X0000583199Y0001372448D01* +X0000583199Y0001372448D01* +X0000583199Y0001372448D01* +G37* +X0000583199Y0001372448D02* +X0000585599Y0001372448D01* +X0000588000Y0001372448D01* +X0000588200Y0001373548D01* +X0000588300Y0001373948D01* +X0000588399Y0001374348D01* +X0000588500Y0001374548D01* +X0000588500Y0001374648D01* +X0000588599Y0001374648D01* +X0000588800Y0001374548D01* +X0000589100Y0001374348D01* +X0000589199Y0001374348D01* +X0000589199Y0001378348D01* +X0000589199Y0001380048D01* +X0000589199Y0001381648D01* +X0000590100Y0001381548D01* +X0000591599Y0001381448D01* +X0000592799Y0001381248D01* +X0000593899Y0001380948D01* +X0000594699Y0001380648D01* +X0000595400Y0001380248D01* +X0000595999Y0001379848D01* +X0000596299Y0001379348D01* +X0000596400Y0001379048D01* +X0000596500Y0001378448D01* +X0000596400Y0001377848D01* +X0000596200Y0001377348D01* +X0000595799Y0001377048D01* +X0000595499Y0001376848D01* +X0000594899Y0001376648D01* +X0000594200Y0001376548D01* +X0000593500Y0001376548D01* +X0000592699Y0001376648D01* +X0000592100Y0001376748D01* +X0000591399Y0001377048D01* +X0000590799Y0001377348D01* +X0000590100Y0001377748D01* +X0000589699Y0001377948D01* +X0000589199Y0001378348D01* +X0000589199Y0001374348D01* +X0000589499Y0001374148D01* +X0000590799Y0001373348D01* +X0000592100Y0001372748D01* +X0000593500Y0001372248D01* +X0000594800Y0001372048D01* +X0000596100Y0001372048D01* +X0000596700Y0001372048D01* +X0000597599Y0001372248D01* +X0000598499Y0001372548D01* +X0000599400Y0001372948D01* +X0000600099Y0001373448D01* +X0000600500Y0001373848D01* +X0000601199Y0001374648D01* +X0000601600Y0001375548D01* +X0000601900Y0001376448D01* +X0000602100Y0001377548D01* +X0000602100Y0001377948D01* +X0000601999Y0001379048D01* +X0000601799Y0001380048D01* +X0000601400Y0001380848D01* +X0000600800Y0001381648D01* +X0000600099Y0001382348D01* +X0000599100Y0001383048D01* +X0000598799Y0001383148D01* +X0000597899Y0001383548D01* +X0000596799Y0001383948D01* +X0000595600Y0001384248D01* +X0000594200Y0001384548D01* +X0000592799Y0001384748D01* +X0000591200Y0001384948D01* +X0000589999Y0001385148D01* +X0000589600Y0001385148D01* +X0000589300Y0001385148D01* +X0000589199Y0001385348D01* +X0000589199Y0001385448D01* +X0000589199Y0001385748D01* +X0000589300Y0001385948D01* +X0000589600Y0001386548D01* +X0000590100Y0001387048D01* +X0000590700Y0001387448D01* +X0000591399Y0001387748D01* +X0000592199Y0001387948D01* +X0000593100Y0001388048D01* +X0000594099Y0001387948D01* +X0000595100Y0001387748D01* +X0000596200Y0001387548D01* +X0000596500Y0001387448D01* +X0000597000Y0001387248D01* +X0000597599Y0001386948D01* +X0000598100Y0001386748D01* +X0000598400Y0001386648D01* +X0000598799Y0001386448D01* +X0000599100Y0001386348D01* +X0000599299Y0001386248D01* +X0000599400Y0001386248D01* +X0000599400Y0001386348D01* +X0000599500Y0001386548D01* +X0000599700Y0001386948D01* +X0000600000Y0001387348D01* +X0000600200Y0001387848D01* +X0000600500Y0001388348D01* +X0000600800Y0001388848D01* +X0000601000Y0001389248D01* +X0000601199Y0001389548D01* +X0000601300Y0001389848D01* +X0000601300Y0001389948D01* +X0000601300Y0001389948D01* +X0000601199Y0001390048D01* +X0000601000Y0001390248D01* +X0000600699Y0001390448D01* +X0000600200Y0001390648D01* +X0000600099Y0001390748D01* +X0000598400Y0001391448D01* +X0000596700Y0001392048D01* +X0000595199Y0001392448D01* +X0000594399Y0001392548D01* +X0000593799Y0001392548D01* +X0000593100Y0001392648D01* +X0000592300Y0001392648D01* +X0000591500Y0001392648D01* +X0000590799Y0001392548D01* +X0000590100Y0001392548D01* +X0000589900Y0001392448D01* +X0000588699Y0001392148D01* +X0000587500Y0001391748D01* +X0000586499Y0001391148D01* +X0000585599Y0001390348D01* +X0000584799Y0001389548D01* +X0000584200Y0001388548D01* +X0000583799Y0001387448D01* +X0000583600Y0001387048D01* +X0000583600Y0001386648D01* +X0000583499Y0001386348D01* +X0000583400Y0001386048D01* +X0000583400Y0001385648D01* +X0000583400Y0001385248D01* +X0000583300Y0001384748D01* +X0000583300Y0001384148D01* +X0000583300Y0001383448D01* +X0000583300Y0001382648D01* +X0000583300Y0001381748D01* +X0000583199Y0001380648D01* +X0000583199Y0001379448D01* +X0000583199Y0001378448D01* +X0000583199Y0001372448D01* +X0000583199Y0001372448D01* +G36* +X0000558999Y0001374948D02* +X0000558999Y0001374848D01* +X0000559199Y0001374648D01* +X0000559499Y0001374448D01* +X0000559900Y0001374148D01* +X0000560400Y0001373848D01* +X0000560899Y0001373548D01* +X0000561000Y0001373448D01* +X0000562400Y0001372848D01* +X0000563799Y0001372448D01* +X0000565300Y0001372148D01* +X0000565900Y0001372048D01* +X0000566400Y0001372048D01* +X0000566799Y0001371948D01* +X0000567200Y0001371948D01* +X0000567500Y0001371948D01* +X0000567899Y0001372048D01* +X0000569400Y0001372148D01* +X0000570699Y0001372448D01* +X0000571900Y0001372848D01* +X0000572200Y0001373048D01* +X0000572799Y0001373348D01* +X0000573500Y0001373648D01* +X0000574100Y0001374148D01* +X0000574699Y0001374548D01* +X0000574999Y0001374748D01* +X0000575500Y0001375248D01* +X0000576000Y0001375948D01* +X0000576500Y0001376548D01* +X0000576899Y0001377248D01* +X0000576899Y0001377448D01* +X0000577400Y0001378648D01* +X0000577799Y0001379948D01* +X0000577999Y0001381248D01* +X0000577999Y0001382548D01* +X0000577900Y0001383948D01* +X0000577699Y0001385148D01* +X0000577199Y0001386348D01* +X0000577000Y0001386848D01* +X0000576300Y0001388048D01* +X0000575500Y0001389148D01* +X0000574499Y0001390048D01* +X0000573300Y0001390848D01* +X0000571999Y0001391548D01* +X0000570699Y0001392048D01* +X0000569700Y0001392348D01* +X0000568799Y0001392448D01* +X0000567899Y0001392548D01* +X0000566799Y0001392648D01* +X0000566599Y0001392648D01* +X0000565400Y0001392548D01* +X0000564200Y0001392448D01* +X0000563200Y0001392248D01* +X0000562199Y0001391848D01* +X0000561300Y0001391448D01* +X0000560899Y0001391148D01* +X0000560400Y0001390948D01* +X0000559999Y0001390648D01* +X0000559600Y0001390348D01* +X0000559400Y0001390148D01* +X0000559300Y0001389948D01* +X0000559300Y0001389948D01* +X0000559400Y0001389848D01* +X0000559600Y0001389548D01* +X0000559799Y0001389148D01* +X0000560100Y0001388748D01* +X0000560500Y0001388348D01* +X0000560799Y0001387848D01* +X0000561099Y0001387448D01* +X0000561399Y0001387048D01* +X0000561699Y0001386748D01* +X0000561800Y0001386548D01* +X0000561899Y0001386448D01* +X0000561899Y0001386448D01* +X0000561999Y0001386448D01* +X0000562199Y0001386648D01* +X0000562499Y0001386748D01* +X0000562600Y0001386848D01* +X0000562999Y0001387048D01* +X0000563500Y0001387248D01* +X0000564000Y0001387548D01* +X0000564000Y0001387548D01* +X0000564500Y0001387648D01* +X0000564800Y0001387748D01* +X0000565199Y0001387848D01* +X0000565600Y0001387848D01* +X0000566100Y0001387848D01* +X0000567099Y0001387848D01* +X0000568100Y0001387748D01* +X0000568900Y0001387448D01* +X0000569700Y0001386948D01* +X0000570399Y0001386448D01* +X0000571000Y0001385748D01* +X0000571400Y0001385048D01* +X0000571799Y0001384148D01* +X0000571900Y0001383148D01* +X0000571999Y0001382748D01* +X0000571999Y0001381648D01* +X0000571799Y0001380648D01* +X0000571499Y0001379648D01* +X0000571000Y0001378848D01* +X0000570300Y0001378148D01* +X0000569599Y0001377548D01* +X0000568699Y0001377148D01* +X0000568100Y0001376948D01* +X0000567599Y0001376848D01* +X0000566899Y0001376748D01* +X0000566200Y0001376748D01* +X0000565400Y0001376848D01* +X0000564800Y0001376948D01* +X0000564599Y0001376948D01* +X0000564000Y0001377148D01* +X0000563299Y0001377448D01* +X0000562600Y0001377748D01* +X0000561899Y0001378148D01* +X0000561699Y0001378248D01* +X0000561500Y0001378448D01* +X0000561300Y0001378548D01* +X0000561200Y0001378548D01* +X0000561200Y0001378448D01* +X0000561000Y0001378148D01* +X0000560799Y0001377848D01* +X0000560500Y0001377448D01* +X0000560200Y0001376948D01* +X0000559900Y0001376448D01* +X0000559600Y0001376048D01* +X0000559400Y0001375648D01* +X0000559100Y0001375248D01* +X0000558999Y0001375048D01* +X0000558999Y0001374948D01* +X0000558999Y0001374948D01* +X0000558999Y0001374948D01* +G37* +X0000558999Y0001374948D02* +X0000558999Y0001374848D01* +X0000559199Y0001374648D01* +X0000559499Y0001374448D01* +X0000559900Y0001374148D01* +X0000560400Y0001373848D01* +X0000560899Y0001373548D01* +X0000561000Y0001373448D01* +X0000562400Y0001372848D01* +X0000563799Y0001372448D01* +X0000565300Y0001372148D01* +X0000565900Y0001372048D01* +X0000566400Y0001372048D01* +X0000566799Y0001371948D01* +X0000567200Y0001371948D01* +X0000567500Y0001371948D01* +X0000567899Y0001372048D01* +X0000569400Y0001372148D01* +X0000570699Y0001372448D01* +X0000571900Y0001372848D01* +X0000572200Y0001373048D01* +X0000572799Y0001373348D01* +X0000573500Y0001373648D01* +X0000574100Y0001374148D01* +X0000574699Y0001374548D01* +X0000574999Y0001374748D01* +X0000575500Y0001375248D01* +X0000576000Y0001375948D01* +X0000576500Y0001376548D01* +X0000576899Y0001377248D01* +X0000576899Y0001377448D01* +X0000577400Y0001378648D01* +X0000577799Y0001379948D01* +X0000577999Y0001381248D01* +X0000577999Y0001382548D01* +X0000577900Y0001383948D01* +X0000577699Y0001385148D01* +X0000577199Y0001386348D01* +X0000577000Y0001386848D01* +X0000576300Y0001388048D01* +X0000575500Y0001389148D01* +X0000574499Y0001390048D01* +X0000573300Y0001390848D01* +X0000571999Y0001391548D01* +X0000570699Y0001392048D01* +X0000569700Y0001392348D01* +X0000568799Y0001392448D01* +X0000567899Y0001392548D01* +X0000566799Y0001392648D01* +X0000566599Y0001392648D01* +X0000565400Y0001392548D01* +X0000564200Y0001392448D01* +X0000563200Y0001392248D01* +X0000562199Y0001391848D01* +X0000561300Y0001391448D01* +X0000560899Y0001391148D01* +X0000560400Y0001390948D01* +X0000559999Y0001390648D01* +X0000559600Y0001390348D01* +X0000559400Y0001390148D01* +X0000559300Y0001389948D01* +X0000559300Y0001389948D01* +X0000559400Y0001389848D01* +X0000559600Y0001389548D01* +X0000559799Y0001389148D01* +X0000560100Y0001388748D01* +X0000560500Y0001388348D01* +X0000560799Y0001387848D01* +X0000561099Y0001387448D01* +X0000561399Y0001387048D01* +X0000561699Y0001386748D01* +X0000561800Y0001386548D01* +X0000561899Y0001386448D01* +X0000561899Y0001386448D01* +X0000561999Y0001386448D01* +X0000562199Y0001386648D01* +X0000562499Y0001386748D01* +X0000562600Y0001386848D01* +X0000562999Y0001387048D01* +X0000563500Y0001387248D01* +X0000564000Y0001387548D01* +X0000564000Y0001387548D01* +X0000564500Y0001387648D01* +X0000564800Y0001387748D01* +X0000565199Y0001387848D01* +X0000565600Y0001387848D01* +X0000566100Y0001387848D01* +X0000567099Y0001387848D01* +X0000568100Y0001387748D01* +X0000568900Y0001387448D01* +X0000569700Y0001386948D01* +X0000570399Y0001386448D01* +X0000571000Y0001385748D01* +X0000571400Y0001385048D01* +X0000571799Y0001384148D01* +X0000571900Y0001383148D01* +X0000571999Y0001382748D01* +X0000571999Y0001381648D01* +X0000571799Y0001380648D01* +X0000571499Y0001379648D01* +X0000571000Y0001378848D01* +X0000570300Y0001378148D01* +X0000569599Y0001377548D01* +X0000568699Y0001377148D01* +X0000568100Y0001376948D01* +X0000567599Y0001376848D01* +X0000566899Y0001376748D01* +X0000566200Y0001376748D01* +X0000565400Y0001376848D01* +X0000564800Y0001376948D01* +X0000564599Y0001376948D01* +X0000564000Y0001377148D01* +X0000563299Y0001377448D01* +X0000562600Y0001377748D01* +X0000561899Y0001378148D01* +X0000561699Y0001378248D01* +X0000561500Y0001378448D01* +X0000561300Y0001378548D01* +X0000561200Y0001378548D01* +X0000561200Y0001378448D01* +X0000561000Y0001378148D01* +X0000560799Y0001377848D01* +X0000560500Y0001377448D01* +X0000560200Y0001376948D01* +X0000559900Y0001376448D01* +X0000559600Y0001376048D01* +X0000559400Y0001375648D01* +X0000559100Y0001375248D01* +X0000558999Y0001375048D01* +X0000558999Y0001374948D01* +X0000558999Y0001374948D01* +G36* +X0000511300Y0001383348D02* +X0000511300Y0001382748D01* +X0000511300Y0001382148D01* +X0000511300Y0001381648D01* +X0000511300Y0001381248D01* +X0000511400Y0001381048D01* +X0000511400Y0001380648D01* +X0000516599Y0001380648D01* +X0000516599Y0001384448D01* +X0000516599Y0001384848D01* +X0000516599Y0001385348D01* +X0000516699Y0001385848D01* +X0000516899Y0001386248D01* +X0000516999Y0001386648D01* +X0000517199Y0001386848D01* +X0000517400Y0001387148D01* +X0000517600Y0001387248D01* +X0000517999Y0001387648D01* +X0000518500Y0001387948D01* +X0000519099Y0001388048D01* +X0000519800Y0001388148D01* +X0000520100Y0001388148D01* +X0000520900Y0001388148D01* +X0000521599Y0001388048D01* +X0000522200Y0001387848D01* +X0000522599Y0001387648D01* +X0000522999Y0001387448D01* +X0000523499Y0001387048D01* +X0000523900Y0001386648D01* +X0000524200Y0001386248D01* +X0000524200Y0001386148D01* +X0000524299Y0001385948D01* +X0000524500Y0001385548D01* +X0000524599Y0001385148D01* +X0000524799Y0001384848D01* +X0000524799Y0001384648D01* +X0000524799Y0001384548D01* +X0000524700Y0001384548D01* +X0000524500Y0001384548D01* +X0000523999Y0001384448D01* +X0000523499Y0001384448D01* +X0000522800Y0001384448D01* +X0000522000Y0001384448D01* +X0000521200Y0001384448D01* +X0000520699Y0001384448D01* +X0000516599Y0001384448D01* +X0000516599Y0001380648D01* +X0000518100Y0001380648D01* +X0000519399Y0001380648D01* +X0000520600Y0001380648D01* +X0000521500Y0001380648D01* +X0000522300Y0001380648D01* +X0000522999Y0001380648D01* +X0000523600Y0001380548D01* +X0000523999Y0001380548D01* +X0000524299Y0001380548D01* +X0000524500Y0001380548D01* +X0000524599Y0001380548D01* +X0000524700Y0001380548D01* +X0000524700Y0001380448D01* +X0000524700Y0001380248D01* +X0000524599Y0001379848D01* +X0000524400Y0001379448D01* +X0000524299Y0001379048D01* +X0000524100Y0001378748D01* +X0000524100Y0001378748D01* +X0000523600Y0001378148D01* +X0000522999Y0001377548D01* +X0000522300Y0001377148D01* +X0000521400Y0001376748D01* +X0000520699Y0001376648D01* +X0000520199Y0001376548D01* +X0000519800Y0001376448D01* +X0000519200Y0001376448D01* +X0000518599Y0001376548D01* +X0000518500Y0001376548D01* +X0000517699Y0001376548D01* +X0000517100Y0001376648D01* +X0000516399Y0001376748D01* +X0000515799Y0001377048D01* +X0000514999Y0001377348D01* +X0000514900Y0001377348D01* +X0000514499Y0001377548D01* +X0000514199Y0001377648D01* +X0000513899Y0001377648D01* +X0000513899Y0001377648D01* +X0000513800Y0001377548D01* +X0000513699Y0001377348D01* +X0000513499Y0001376948D01* +X0000513200Y0001376548D01* +X0000512899Y0001375948D01* +X0000512899Y0001375948D01* +X0000512599Y0001375348D01* +X0000512400Y0001374848D01* +X0000512200Y0001374548D01* +X0000512100Y0001374348D01* +X0000512100Y0001374248D01* +X0000512100Y0001374148D01* +X0000512299Y0001374148D01* +X0000512500Y0001373948D01* +X0000512899Y0001373748D01* +X0000513200Y0001373648D01* +X0000514600Y0001373048D01* +X0000516099Y0001372548D01* +X0000517600Y0001372248D01* +X0000519099Y0001372048D01* +X0000520499Y0001372048D01* +X0000521200Y0001372048D01* +X0000522699Y0001372248D01* +X0000524100Y0001372648D01* +X0000525399Y0001373248D01* +X0000526600Y0001373948D01* +X0000527599Y0001374748D01* +X0000528500Y0001375648D01* +X0000529300Y0001376748D01* +X0000529900Y0001377948D01* +X0000530400Y0001379248D01* +X0000530599Y0001380248D01* +X0000530700Y0001380948D01* +X0000530700Y0001381848D01* +X0000530700Y0001382648D01* +X0000530700Y0001383548D01* +X0000530599Y0001384148D01* +X0000530400Y0001385448D01* +X0000529900Y0001386648D01* +X0000529300Y0001387848D01* +X0000528500Y0001388948D01* +X0000527599Y0001389848D01* +X0000526600Y0001390748D01* +X0000525399Y0001391448D01* +X0000524200Y0001392048D01* +X0000522899Y0001392448D01* +X0000522300Y0001392548D01* +X0000521899Y0001392548D01* +X0000521299Y0001392648D01* +X0000520699Y0001392648D01* +X0000520100Y0001392648D01* +X0000519499Y0001392648D01* +X0000519000Y0001392548D01* +X0000518799Y0001392548D01* +X0000517600Y0001392348D01* +X0000516399Y0001391948D01* +X0000515299Y0001391348D01* +X0000514399Y0001390748D01* +X0000513499Y0001389948D01* +X0000513300Y0001389648D01* +X0000512899Y0001389048D01* +X0000512500Y0001388348D01* +X0000512100Y0001387548D01* +X0000511799Y0001386748D01* +X0000511600Y0001386048D01* +X0000511499Y0001385648D01* +X0000511400Y0001385348D01* +X0000511400Y0001385048D01* +X0000511300Y0001384648D01* +X0000511300Y0001384148D01* +X0000511300Y0001383548D01* +X0000511300Y0001383348D01* +X0000511300Y0001383348D01* +X0000511300Y0001383348D01* +G37* +X0000511300Y0001383348D02* +X0000511300Y0001382748D01* +X0000511300Y0001382148D01* +X0000511300Y0001381648D01* +X0000511300Y0001381248D01* +X0000511400Y0001381048D01* +X0000511400Y0001380648D01* +X0000516599Y0001380648D01* +X0000516599Y0001384448D01* +X0000516599Y0001384848D01* +X0000516599Y0001385348D01* +X0000516699Y0001385848D01* +X0000516899Y0001386248D01* +X0000516999Y0001386648D01* +X0000517199Y0001386848D01* +X0000517400Y0001387148D01* +X0000517600Y0001387248D01* +X0000517999Y0001387648D01* +X0000518500Y0001387948D01* +X0000519099Y0001388048D01* +X0000519800Y0001388148D01* +X0000520100Y0001388148D01* +X0000520900Y0001388148D01* +X0000521599Y0001388048D01* +X0000522200Y0001387848D01* +X0000522599Y0001387648D01* +X0000522999Y0001387448D01* +X0000523499Y0001387048D01* +X0000523900Y0001386648D01* +X0000524200Y0001386248D01* +X0000524200Y0001386148D01* +X0000524299Y0001385948D01* +X0000524500Y0001385548D01* +X0000524599Y0001385148D01* +X0000524799Y0001384848D01* +X0000524799Y0001384648D01* +X0000524799Y0001384548D01* +X0000524700Y0001384548D01* +X0000524500Y0001384548D01* +X0000523999Y0001384448D01* +X0000523499Y0001384448D01* +X0000522800Y0001384448D01* +X0000522000Y0001384448D01* +X0000521200Y0001384448D01* +X0000520699Y0001384448D01* +X0000516599Y0001384448D01* +X0000516599Y0001380648D01* +X0000518100Y0001380648D01* +X0000519399Y0001380648D01* +X0000520600Y0001380648D01* +X0000521500Y0001380648D01* +X0000522300Y0001380648D01* +X0000522999Y0001380648D01* +X0000523600Y0001380548D01* +X0000523999Y0001380548D01* +X0000524299Y0001380548D01* +X0000524500Y0001380548D01* +X0000524599Y0001380548D01* +X0000524700Y0001380548D01* +X0000524700Y0001380448D01* +X0000524700Y0001380248D01* +X0000524599Y0001379848D01* +X0000524400Y0001379448D01* +X0000524299Y0001379048D01* +X0000524100Y0001378748D01* +X0000524100Y0001378748D01* +X0000523600Y0001378148D01* +X0000522999Y0001377548D01* +X0000522300Y0001377148D01* +X0000521400Y0001376748D01* +X0000520699Y0001376648D01* +X0000520199Y0001376548D01* +X0000519800Y0001376448D01* +X0000519200Y0001376448D01* +X0000518599Y0001376548D01* +X0000518500Y0001376548D01* +X0000517699Y0001376548D01* +X0000517100Y0001376648D01* +X0000516399Y0001376748D01* +X0000515799Y0001377048D01* +X0000514999Y0001377348D01* +X0000514900Y0001377348D01* +X0000514499Y0001377548D01* +X0000514199Y0001377648D01* +X0000513899Y0001377648D01* +X0000513899Y0001377648D01* +X0000513800Y0001377548D01* +X0000513699Y0001377348D01* +X0000513499Y0001376948D01* +X0000513200Y0001376548D01* +X0000512899Y0001375948D01* +X0000512899Y0001375948D01* +X0000512599Y0001375348D01* +X0000512400Y0001374848D01* +X0000512200Y0001374548D01* +X0000512100Y0001374348D01* +X0000512100Y0001374248D01* +X0000512100Y0001374148D01* +X0000512299Y0001374148D01* +X0000512500Y0001373948D01* +X0000512899Y0001373748D01* +X0000513200Y0001373648D01* +X0000514600Y0001373048D01* +X0000516099Y0001372548D01* +X0000517600Y0001372248D01* +X0000519099Y0001372048D01* +X0000520499Y0001372048D01* +X0000521200Y0001372048D01* +X0000522699Y0001372248D01* +X0000524100Y0001372648D01* +X0000525399Y0001373248D01* +X0000526600Y0001373948D01* +X0000527599Y0001374748D01* +X0000528500Y0001375648D01* +X0000529300Y0001376748D01* +X0000529900Y0001377948D01* +X0000530400Y0001379248D01* +X0000530599Y0001380248D01* +X0000530700Y0001380948D01* +X0000530700Y0001381848D01* +X0000530700Y0001382648D01* +X0000530700Y0001383548D01* +X0000530599Y0001384148D01* +X0000530400Y0001385448D01* +X0000529900Y0001386648D01* +X0000529300Y0001387848D01* +X0000528500Y0001388948D01* +X0000527599Y0001389848D01* +X0000526600Y0001390748D01* +X0000525399Y0001391448D01* +X0000524200Y0001392048D01* +X0000522899Y0001392448D01* +X0000522300Y0001392548D01* +X0000521899Y0001392548D01* +X0000521299Y0001392648D01* +X0000520699Y0001392648D01* +X0000520100Y0001392648D01* +X0000519499Y0001392648D01* +X0000519000Y0001392548D01* +X0000518799Y0001392548D01* +X0000517600Y0001392348D01* +X0000516399Y0001391948D01* +X0000515299Y0001391348D01* +X0000514399Y0001390748D01* +X0000513499Y0001389948D01* +X0000513300Y0001389648D01* +X0000512899Y0001389048D01* +X0000512500Y0001388348D01* +X0000512100Y0001387548D01* +X0000511799Y0001386748D01* +X0000511600Y0001386048D01* +X0000511499Y0001385648D01* +X0000511400Y0001385348D01* +X0000511400Y0001385048D01* +X0000511300Y0001384648D01* +X0000511300Y0001384148D01* +X0000511300Y0001383548D01* +X0000511300Y0001383348D01* +X0000511300Y0001383348D01* +G36* +X0000845400Y0001372448D02* +X0000853999Y0001372448D01* +X0000862699Y0001372448D01* +X0000862699Y0001385448D01* +X0000862699Y0001398448D01* +X0000859800Y0001398448D01* +X0000856900Y0001398448D01* +X0000856900Y0001387948D01* +X0000856900Y0001377348D01* +X0000851100Y0001377348D01* +X0000845400Y0001377348D01* +X0000845400Y0001374948D01* +X0000845400Y0001372448D01* +X0000845400Y0001372448D01* +X0000845400Y0001372448D01* +G37* +X0000845400Y0001372448D02* +X0000853999Y0001372448D01* +X0000862699Y0001372448D01* +X0000862699Y0001385448D01* +X0000862699Y0001398448D01* +X0000859800Y0001398448D01* +X0000856900Y0001398448D01* +X0000856900Y0001387948D01* +X0000856900Y0001377348D01* +X0000851100Y0001377348D01* +X0000845400Y0001377348D01* +X0000845400Y0001374948D01* +X0000845400Y0001372448D01* +X0000845400Y0001372448D01* +G36* +X0000797799Y0001372448D02* +X0000800700Y0001372448D01* +X0000803600Y0001372448D01* +X0000803600Y0001378548D01* +X0000803600Y0001379848D01* +X0000803600Y0001381048D01* +X0000803600Y0001382148D01* +X0000803600Y0001383048D01* +X0000803600Y0001383848D01* +X0000803700Y0001384448D01* +X0000803700Y0001384948D01* +X0000803700Y0001385248D01* +X0000803700Y0001385248D01* +X0000803900Y0001386048D01* +X0000804200Y0001386648D01* +X0000804599Y0001387148D01* +X0000805199Y0001387448D01* +X0000805800Y0001387648D01* +X0000806600Y0001387648D01* +X0000806900Y0001387648D01* +X0000807700Y0001387548D01* +X0000808499Y0001387248D01* +X0000809200Y0001386748D01* +X0000809799Y0001386348D01* +X0000810500Y0001385748D01* +X0000810500Y0001379148D01* +X0000810500Y0001372448D01* +X0000813500Y0001372448D01* +X0000816399Y0001372448D01* +X0000816399Y0001382348D01* +X0000816399Y0001392248D01* +X0000814000Y0001392248D01* +X0000811600Y0001392248D01* +X0000811399Y0001390948D01* +X0000811300Y0001390448D01* +X0000811199Y0001390048D01* +X0000811199Y0001389748D01* +X0000811100Y0001389648D01* +X0000811100Y0001389548D01* +X0000811000Y0001389648D01* +X0000810800Y0001389748D01* +X0000810599Y0001389948D01* +X0000810500Y0001390048D01* +X0000809499Y0001390848D01* +X0000808600Y0001391448D01* +X0000807800Y0001391948D01* +X0000806900Y0001392248D01* +X0000805999Y0001392548D01* +X0000805000Y0001392648D01* +X0000804099Y0001392648D01* +X0000802999Y0001392548D01* +X0000801899Y0001392348D01* +X0000801000Y0001391948D01* +X0000800200Y0001391448D01* +X0000799499Y0001390848D01* +X0000799000Y0001389948D01* +X0000798500Y0001388948D01* +X0000798099Y0001387848D01* +X0000797799Y0001386848D01* +X0000797799Y0001379648D01* +X0000797799Y0001372448D01* +X0000797799Y0001372448D01* +X0000797799Y0001372448D01* +G37* +X0000797799Y0001372448D02* +X0000800700Y0001372448D01* +X0000803600Y0001372448D01* +X0000803600Y0001378548D01* +X0000803600Y0001379848D01* +X0000803600Y0001381048D01* +X0000803600Y0001382148D01* +X0000803600Y0001383048D01* +X0000803600Y0001383848D01* +X0000803700Y0001384448D01* +X0000803700Y0001384948D01* +X0000803700Y0001385248D01* +X0000803700Y0001385248D01* +X0000803900Y0001386048D01* +X0000804200Y0001386648D01* +X0000804599Y0001387148D01* +X0000805199Y0001387448D01* +X0000805800Y0001387648D01* +X0000806600Y0001387648D01* +X0000806900Y0001387648D01* +X0000807700Y0001387548D01* +X0000808499Y0001387248D01* +X0000809200Y0001386748D01* +X0000809799Y0001386348D01* +X0000810500Y0001385748D01* +X0000810500Y0001379148D01* +X0000810500Y0001372448D01* +X0000813500Y0001372448D01* +X0000816399Y0001372448D01* +X0000816399Y0001382348D01* +X0000816399Y0001392248D01* +X0000814000Y0001392248D01* +X0000811600Y0001392248D01* +X0000811399Y0001390948D01* +X0000811300Y0001390448D01* +X0000811199Y0001390048D01* +X0000811199Y0001389748D01* +X0000811100Y0001389648D01* +X0000811100Y0001389548D01* +X0000811000Y0001389648D01* +X0000810800Y0001389748D01* +X0000810599Y0001389948D01* +X0000810500Y0001390048D01* +X0000809499Y0001390848D01* +X0000808600Y0001391448D01* +X0000807800Y0001391948D01* +X0000806900Y0001392248D01* +X0000805999Y0001392548D01* +X0000805000Y0001392648D01* +X0000804099Y0001392648D01* +X0000802999Y0001392548D01* +X0000801899Y0001392348D01* +X0000801000Y0001391948D01* +X0000800200Y0001391448D01* +X0000799499Y0001390848D01* +X0000799000Y0001389948D01* +X0000798500Y0001388948D01* +X0000798099Y0001387848D01* +X0000797799Y0001386848D01* +X0000797799Y0001379648D01* +X0000797799Y0001372448D01* +X0000797799Y0001372448D01* +G36* +X0000750200Y0001372448D02* +X0000753099Y0001372448D01* +X0000756000Y0001372448D01* +X0000756000Y0001377848D01* +X0000756000Y0001383248D01* +X0000759599Y0001383248D01* +X0000763100Y0001383248D01* +X0000763100Y0001377848D01* +X0000763100Y0001372448D01* +X0000766000Y0001372448D01* +X0000768899Y0001372448D01* +X0000768899Y0001385448D01* +X0000768899Y0001398448D01* +X0000766000Y0001398448D01* +X0000763100Y0001398448D01* +X0000763100Y0001393448D01* +X0000763100Y0001388348D01* +X0000759599Y0001388348D01* +X0000756000Y0001388348D01* +X0000756000Y0001393448D01* +X0000756000Y0001398448D01* +X0000753099Y0001398448D01* +X0000750200Y0001398448D01* +X0000750200Y0001385448D01* +X0000750200Y0001372448D01* +X0000750200Y0001372448D01* +X0000750200Y0001372448D01* +G37* +X0000750200Y0001372448D02* +X0000753099Y0001372448D01* +X0000756000Y0001372448D01* +X0000756000Y0001377848D01* +X0000756000Y0001383248D01* +X0000759599Y0001383248D01* +X0000763100Y0001383248D01* +X0000763100Y0001377848D01* +X0000763100Y0001372448D01* +X0000766000Y0001372448D01* +X0000768899Y0001372448D01* +X0000768899Y0001385448D01* +X0000768899Y0001398448D01* +X0000766000Y0001398448D01* +X0000763100Y0001398448D01* +X0000763100Y0001393448D01* +X0000763100Y0001388348D01* +X0000759599Y0001388348D01* +X0000756000Y0001388348D01* +X0000756000Y0001393448D01* +X0000756000Y0001398448D01* +X0000753099Y0001398448D01* +X0000750200Y0001398448D01* +X0000750200Y0001385448D01* +X0000750200Y0001372448D01* +X0000750200Y0001372448D01* +G36* +X0000706900Y0001372448D02* +X0000709800Y0001372448D01* +X0000712699Y0001372448D01* +X0000712699Y0001380048D01* +X0000712699Y0001387648D01* +X0000716700Y0001387648D01* +X0000720700Y0001387648D01* +X0000720700Y0001389948D01* +X0000720700Y0001392248D01* +X0000713799Y0001392248D01* +X0000706900Y0001392248D01* +X0000706900Y0001382348D01* +X0000706900Y0001372448D01* +X0000706900Y0001372448D01* +X0000706900Y0001372448D01* +G37* +X0000706900Y0001372448D02* +X0000709800Y0001372448D01* +X0000712699Y0001372448D01* +X0000712699Y0001380048D01* +X0000712699Y0001387648D01* +X0000716700Y0001387648D01* +X0000720700Y0001387648D01* +X0000720700Y0001389948D01* +X0000720700Y0001392248D01* +X0000713799Y0001392248D01* +X0000706900Y0001392248D01* +X0000706900Y0001382348D01* +X0000706900Y0001372448D01* +X0000706900Y0001372448D01* +G36* +X0000678099Y0001391848D02* +X0000678099Y0001391748D01* +X0000678200Y0001391548D01* +X0000678300Y0001391148D01* +X0000678399Y0001390648D01* +X0000678599Y0001390048D01* +X0000678599Y0001389648D01* +X0000678800Y0001389048D01* +X0000678899Y0001388448D01* +X0000679100Y0001387948D01* +X0000679199Y0001387548D01* +X0000679199Y0001387248D01* +X0000679199Y0001387248D01* +X0000679300Y0001387048D01* +X0000679499Y0001387048D01* +X0000679499Y0001387048D01* +X0000680400Y0001387248D01* +X0000681399Y0001387448D01* +X0000682400Y0001387548D01* +X0000683299Y0001387448D01* +X0000684000Y0001387448D01* +X0000684300Y0001387348D01* +X0000685400Y0001387048D01* +X0000686299Y0001386548D01* +X0000687200Y0001385848D01* +X0000688000Y0001384948D01* +X0000688800Y0001383948D01* +X0000688999Y0001383648D01* +X0000689400Y0001382848D01* +X0000689400Y0001377648D01* +X0000689400Y0001372448D01* +X0000692299Y0001372448D01* +X0000693200Y0001372448D01* +X0000693899Y0001372448D01* +X0000694499Y0001372448D01* +X0000694800Y0001372448D01* +X0000695099Y0001372548D01* +X0000695200Y0001372548D01* +X0000695299Y0001372548D01* +X0000695299Y0001372648D01* +X0000695299Y0001372948D01* +X0000695299Y0001373448D01* +X0000695299Y0001374048D01* +X0000695299Y0001374848D01* +X0000695299Y0001375748D01* +X0000695299Y0001376748D01* +X0000695299Y0001377848D01* +X0000695299Y0001379048D01* +X0000695299Y0001380348D01* +X0000695299Y0001381648D01* +X0000695299Y0001382448D01* +X0000695299Y0001392148D01* +X0000692900Y0001392248D01* +X0000692200Y0001392248D01* +X0000691600Y0001392248D01* +X0000691199Y0001392248D01* +X0000690800Y0001392148D01* +X0000690599Y0001392148D01* +X0000690500Y0001392148D01* +X0000690500Y0001391948D01* +X0000690500Y0001391648D01* +X0000690399Y0001391248D01* +X0000690399Y0001390648D01* +X0000690300Y0001390148D01* +X0000690200Y0001389548D01* +X0000690200Y0001389048D01* +X0000690099Y0001388648D01* +X0000690099Y0001388248D01* +X0000690000Y0001388048D01* +X0000690000Y0001388048D01* +X0000690000Y0001387948D01* +X0000689900Y0001388048D01* +X0000689799Y0001388148D01* +X0000689599Y0001388448D01* +X0000688999Y0001389248D01* +X0000688300Y0001390048D01* +X0000687500Y0001390748D01* +X0000686900Y0001391148D01* +X0000686400Y0001391448D01* +X0000685800Y0001391748D01* +X0000685199Y0001392048D01* +X0000684599Y0001392248D01* +X0000684200Y0001392348D01* +X0000683599Y0001392548D01* +X0000682800Y0001392548D01* +X0000682000Y0001392648D01* +X0000681200Y0001392648D01* +X0000680499Y0001392548D01* +X0000679900Y0001392548D01* +X0000679600Y0001392448D01* +X0000679400Y0001392348D01* +X0000679100Y0001392248D01* +X0000678699Y0001392148D01* +X0000678399Y0001392048D01* +X0000678200Y0001391948D01* +X0000678099Y0001391848D01* +X0000678099Y0001391848D01* +X0000678099Y0001391848D01* +G37* +X0000678099Y0001391848D02* +X0000678099Y0001391748D01* +X0000678200Y0001391548D01* +X0000678300Y0001391148D01* +X0000678399Y0001390648D01* +X0000678599Y0001390048D01* +X0000678599Y0001389648D01* +X0000678800Y0001389048D01* +X0000678899Y0001388448D01* +X0000679100Y0001387948D01* +X0000679199Y0001387548D01* +X0000679199Y0001387248D01* +X0000679199Y0001387248D01* +X0000679300Y0001387048D01* +X0000679499Y0001387048D01* +X0000679499Y0001387048D01* +X0000680400Y0001387248D01* +X0000681399Y0001387448D01* +X0000682400Y0001387548D01* +X0000683299Y0001387448D01* +X0000684000Y0001387448D01* +X0000684300Y0001387348D01* +X0000685400Y0001387048D01* +X0000686299Y0001386548D01* +X0000687200Y0001385848D01* +X0000688000Y0001384948D01* +X0000688800Y0001383948D01* +X0000688999Y0001383648D01* +X0000689400Y0001382848D01* +X0000689400Y0001377648D01* +X0000689400Y0001372448D01* +X0000692299Y0001372448D01* +X0000693200Y0001372448D01* +X0000693899Y0001372448D01* +X0000694499Y0001372448D01* +X0000694800Y0001372448D01* +X0000695099Y0001372548D01* +X0000695200Y0001372548D01* +X0000695299Y0001372548D01* +X0000695299Y0001372648D01* +X0000695299Y0001372948D01* +X0000695299Y0001373448D01* +X0000695299Y0001374048D01* +X0000695299Y0001374848D01* +X0000695299Y0001375748D01* +X0000695299Y0001376748D01* +X0000695299Y0001377848D01* +X0000695299Y0001379048D01* +X0000695299Y0001380348D01* +X0000695299Y0001381648D01* +X0000695299Y0001382448D01* +X0000695299Y0001392148D01* +X0000692900Y0001392248D01* +X0000692200Y0001392248D01* +X0000691600Y0001392248D01* +X0000691199Y0001392248D01* +X0000690800Y0001392148D01* +X0000690599Y0001392148D01* +X0000690500Y0001392148D01* +X0000690500Y0001391948D01* +X0000690500Y0001391648D01* +X0000690399Y0001391248D01* +X0000690399Y0001390648D01* +X0000690300Y0001390148D01* +X0000690200Y0001389548D01* +X0000690200Y0001389048D01* +X0000690099Y0001388648D01* +X0000690099Y0001388248D01* +X0000690000Y0001388048D01* +X0000690000Y0001388048D01* +X0000690000Y0001387948D01* +X0000689900Y0001388048D01* +X0000689799Y0001388148D01* +X0000689599Y0001388448D01* +X0000688999Y0001389248D01* +X0000688300Y0001390048D01* +X0000687500Y0001390748D01* +X0000686900Y0001391148D01* +X0000686400Y0001391448D01* +X0000685800Y0001391748D01* +X0000685199Y0001392048D01* +X0000684599Y0001392248D01* +X0000684200Y0001392348D01* +X0000683599Y0001392548D01* +X0000682800Y0001392548D01* +X0000682000Y0001392648D01* +X0000681200Y0001392648D01* +X0000680499Y0001392548D01* +X0000679900Y0001392548D01* +X0000679600Y0001392448D01* +X0000679400Y0001392348D01* +X0000679100Y0001392248D01* +X0000678699Y0001392148D01* +X0000678399Y0001392048D01* +X0000678200Y0001391948D01* +X0000678099Y0001391848D01* +X0000678099Y0001391848D01* +G36* +X0000607100Y0001372448D02* +X0000610000Y0001372448D01* +X0000612899Y0001372448D01* +X0000612899Y0001377848D01* +X0000612899Y0001383248D01* +X0000616400Y0001383248D01* +X0000619999Y0001383248D01* +X0000619999Y0001377848D01* +X0000619999Y0001372448D01* +X0000622999Y0001372448D01* +X0000625900Y0001372448D01* +X0000625900Y0001385448D01* +X0000625900Y0001398448D01* +X0000622999Y0001398448D01* +X0000619999Y0001398348D01* +X0000619999Y0001393348D01* +X0000619999Y0001388348D01* +X0000616499Y0001388448D01* +X0000612899Y0001388448D01* +X0000612899Y0001393448D01* +X0000612899Y0001398448D01* +X0000610000Y0001398448D01* +X0000607100Y0001398448D01* +X0000607100Y0001385448D01* +X0000607100Y0001372448D01* +X0000607100Y0001372448D01* +X0000607100Y0001372448D01* +G37* +X0000607100Y0001372448D02* +X0000610000Y0001372448D01* +X0000612899Y0001372448D01* +X0000612899Y0001377848D01* +X0000612899Y0001383248D01* +X0000616400Y0001383248D01* +X0000619999Y0001383248D01* +X0000619999Y0001377848D01* +X0000619999Y0001372448D01* +X0000622999Y0001372448D01* +X0000625900Y0001372448D01* +X0000625900Y0001385448D01* +X0000625900Y0001398448D01* +X0000622999Y0001398448D01* +X0000619999Y0001398348D01* +X0000619999Y0001393348D01* +X0000619999Y0001388348D01* +X0000616499Y0001388448D01* +X0000612899Y0001388448D01* +X0000612899Y0001393448D01* +X0000612899Y0001398448D01* +X0000610000Y0001398448D01* +X0000607100Y0001398448D01* +X0000607100Y0001385448D01* +X0000607100Y0001372448D01* +X0000607100Y0001372448D01* +G36* +X0000533599Y0001372448D02* +X0000533700Y0001372448D01* +X0000534000Y0001372448D01* +X0000534399Y0001372448D01* +X0000534899Y0001372448D01* +X0000535600Y0001372448D01* +X0000536299Y0001372448D01* +X0000536700Y0001372448D01* +X0000539899Y0001372448D01* +X0000542400Y0001376348D01* +X0000542899Y0001377148D01* +X0000543399Y0001377848D01* +X0000543800Y0001378548D01* +X0000544199Y0001379148D01* +X0000544499Y0001379648D01* +X0000544699Y0001380048D01* +X0000544900Y0001380248D01* +X0000544999Y0001380348D01* +X0000544999Y0001380348D01* +X0000545299Y0001380148D01* +X0000545599Y0001379848D01* +X0000546000Y0001379448D01* +X0000546500Y0001378948D01* +X0000547999Y0001377448D01* +X0000547999Y0001374948D01* +X0000547999Y0001372448D01* +X0000550900Y0001372448D01* +X0000553799Y0001372448D01* +X0000553799Y0001386448D01* +X0000553799Y0001400448D01* +X0000550900Y0001400448D01* +X0000547999Y0001400448D01* +X0000547999Y0001392348D01* +X0000547999Y0001390848D01* +X0000547999Y0001389548D01* +X0000547999Y0001388348D01* +X0000547999Y0001387348D01* +X0000547999Y0001386548D01* +X0000547999Y0001385848D01* +X0000547900Y0001385348D01* +X0000547900Y0001384948D01* +X0000547900Y0001384648D01* +X0000547900Y0001384448D01* +X0000547900Y0001384348D01* +X0000547900Y0001384248D01* +X0000547799Y0001384348D01* +X0000547600Y0001384548D01* +X0000547199Y0001384848D01* +X0000546800Y0001385348D01* +X0000546399Y0001385848D01* +X0000545799Y0001386448D01* +X0000545200Y0001387148D01* +X0000544499Y0001387848D01* +X0000544100Y0001388248D01* +X0000540500Y0001392248D01* +X0000537399Y0001392248D01* +X0000536599Y0001392248D01* +X0000535900Y0001392248D01* +X0000535300Y0001392248D01* +X0000534800Y0001392248D01* +X0000534399Y0001392248D01* +X0000534200Y0001392248D01* +X0000534200Y0001392148D01* +X0000534300Y0001392148D01* +X0000534399Y0001391948D01* +X0000534699Y0001391548D01* +X0000535100Y0001391148D01* +X0000535600Y0001390648D01* +X0000536200Y0001390048D01* +X0000536799Y0001389348D01* +X0000537399Y0001388648D01* +X0000537899Y0001388148D01* +X0000538600Y0001387348D01* +X0000539200Y0001386648D01* +X0000539799Y0001386048D01* +X0000540300Y0001385448D01* +X0000540800Y0001384948D01* +X0000541100Y0001384548D01* +X0000541400Y0001384248D01* +X0000541499Y0001384048D01* +X0000541499Y0001384048D01* +X0000541499Y0001383948D01* +X0000541300Y0001383648D01* +X0000541100Y0001383248D01* +X0000540699Y0001382748D01* +X0000540300Y0001382148D01* +X0000539799Y0001381448D01* +X0000539299Y0001380648D01* +X0000538699Y0001379848D01* +X0000537999Y0001378948D01* +X0000537500Y0001378248D01* +X0000536899Y0001377248D01* +X0000536299Y0001376348D01* +X0000535699Y0001375548D01* +X0000535199Y0001374748D01* +X0000534699Y0001374148D01* +X0000534300Y0001373548D01* +X0000534000Y0001373048D01* +X0000533799Y0001372748D01* +X0000533599Y0001372548D01* +X0000533599Y0001372448D01* +X0000533599Y0001372448D01* +X0000533599Y0001372448D01* +G37* +X0000533599Y0001372448D02* +X0000533700Y0001372448D01* +X0000534000Y0001372448D01* +X0000534399Y0001372448D01* +X0000534899Y0001372448D01* +X0000535600Y0001372448D01* +X0000536299Y0001372448D01* +X0000536700Y0001372448D01* +X0000539899Y0001372448D01* +X0000542400Y0001376348D01* +X0000542899Y0001377148D01* +X0000543399Y0001377848D01* +X0000543800Y0001378548D01* +X0000544199Y0001379148D01* +X0000544499Y0001379648D01* +X0000544699Y0001380048D01* +X0000544900Y0001380248D01* +X0000544999Y0001380348D01* +X0000544999Y0001380348D01* +X0000545299Y0001380148D01* +X0000545599Y0001379848D01* +X0000546000Y0001379448D01* +X0000546500Y0001378948D01* +X0000547999Y0001377448D01* +X0000547999Y0001374948D01* +X0000547999Y0001372448D01* +X0000550900Y0001372448D01* +X0000553799Y0001372448D01* +X0000553799Y0001386448D01* +X0000553799Y0001400448D01* +X0000550900Y0001400448D01* +X0000547999Y0001400448D01* +X0000547999Y0001392348D01* +X0000547999Y0001390848D01* +X0000547999Y0001389548D01* +X0000547999Y0001388348D01* +X0000547999Y0001387348D01* +X0000547999Y0001386548D01* +X0000547999Y0001385848D01* +X0000547900Y0001385348D01* +X0000547900Y0001384948D01* +X0000547900Y0001384648D01* +X0000547900Y0001384448D01* +X0000547900Y0001384348D01* +X0000547900Y0001384248D01* +X0000547799Y0001384348D01* +X0000547600Y0001384548D01* +X0000547199Y0001384848D01* +X0000546800Y0001385348D01* +X0000546399Y0001385848D01* +X0000545799Y0001386448D01* +X0000545200Y0001387148D01* +X0000544499Y0001387848D01* +X0000544100Y0001388248D01* +X0000540500Y0001392248D01* +X0000537399Y0001392248D01* +X0000536599Y0001392248D01* +X0000535900Y0001392248D01* +X0000535300Y0001392248D01* +X0000534800Y0001392248D01* +X0000534399Y0001392248D01* +X0000534200Y0001392248D01* +X0000534200Y0001392148D01* +X0000534300Y0001392148D01* +X0000534399Y0001391948D01* +X0000534699Y0001391548D01* +X0000535100Y0001391148D01* +X0000535600Y0001390648D01* +X0000536200Y0001390048D01* +X0000536799Y0001389348D01* +X0000537399Y0001388648D01* +X0000537899Y0001388148D01* +X0000538600Y0001387348D01* +X0000539200Y0001386648D01* +X0000539799Y0001386048D01* +X0000540300Y0001385448D01* +X0000540800Y0001384948D01* +X0000541100Y0001384548D01* +X0000541400Y0001384248D01* +X0000541499Y0001384048D01* +X0000541499Y0001384048D01* +X0000541499Y0001383948D01* +X0000541300Y0001383648D01* +X0000541100Y0001383248D01* +X0000540699Y0001382748D01* +X0000540300Y0001382148D01* +X0000539799Y0001381448D01* +X0000539299Y0001380648D01* +X0000538699Y0001379848D01* +X0000537999Y0001378948D01* +X0000537500Y0001378248D01* +X0000536899Y0001377248D01* +X0000536299Y0001376348D01* +X0000535699Y0001375548D01* +X0000535199Y0001374748D01* +X0000534699Y0001374148D01* +X0000534300Y0001373548D01* +X0000534000Y0001373048D01* +X0000533799Y0001372748D01* +X0000533599Y0001372548D01* +X0000533599Y0001372448D01* +X0000533599Y0001372448D01* +G36* +X0000487400Y0001391848D02* +X0000487400Y0001391548D01* +X0000487499Y0001391148D01* +X0000487600Y0001390648D01* +X0000487700Y0001390148D01* +X0000487799Y0001389548D01* +X0000487999Y0001388948D01* +X0000488099Y0001388348D01* +X0000488299Y0001387848D01* +X0000488400Y0001387448D01* +X0000488500Y0001387248D01* +X0000488599Y0001387048D01* +X0000488599Y0001387048D01* +X0000488700Y0001387148D01* +X0000489000Y0001387148D01* +X0000489399Y0001387248D01* +X0000489800Y0001387348D01* +X0000490300Y0001387448D01* +X0000490700Y0001387448D01* +X0000491200Y0001387448D01* +X0000491799Y0001387448D01* +X0000492099Y0001387448D01* +X0000492600Y0001387448D01* +X0000493100Y0001387448D01* +X0000493400Y0001387348D01* +X0000493700Y0001387248D01* +X0000494099Y0001387148D01* +X0000494200Y0001387148D01* +X0000495000Y0001386748D01* +X0000495800Y0001386348D01* +X0000496400Y0001385848D01* +X0000496799Y0001385448D01* +X0000497500Y0001384648D01* +X0000498000Y0001383848D01* +X0000498399Y0001383248D01* +X0000498600Y0001382648D01* +X0000498600Y0001377548D01* +X0000498600Y0001372448D01* +X0000501499Y0001372448D01* +X0000504399Y0001372448D01* +X0000504399Y0001382348D01* +X0000504399Y0001392248D01* +X0000502100Y0001392248D01* +X0000499700Y0001392248D01* +X0000499700Y0001391948D01* +X0000499600Y0001391748D01* +X0000499600Y0001391348D01* +X0000499499Y0001390848D01* +X0000499499Y0001390348D01* +X0000499400Y0001389748D01* +X0000499300Y0001389048D01* +X0000499300Y0001388548D01* +X0000499199Y0001388148D01* +X0000499199Y0001387948D01* +X0000499100Y0001387848D01* +X0000499100Y0001387948D01* +X0000498999Y0001387948D01* +X0000498899Y0001388148D01* +X0000498699Y0001388448D01* +X0000498399Y0001388848D01* +X0000498099Y0001389248D01* +X0000497799Y0001389648D01* +X0000497400Y0001390048D01* +X0000497200Y0001390248D01* +X0000496199Y0001391048D01* +X0000495099Y0001391748D01* +X0000493900Y0001392248D01* +X0000492699Y0001392548D01* +X0000491299Y0001392648D01* +X0000490100Y0001392648D01* +X0000489600Y0001392548D01* +X0000489000Y0001392448D01* +X0000488400Y0001392348D01* +X0000487900Y0001392148D01* +X0000487499Y0001392048D01* +X0000487400Y0001391948D01* +X0000487400Y0001391848D01* +X0000487400Y0001391848D01* +X0000487400Y0001391848D01* +G37* +X0000487400Y0001391848D02* +X0000487400Y0001391548D01* +X0000487499Y0001391148D01* +X0000487600Y0001390648D01* +X0000487700Y0001390148D01* +X0000487799Y0001389548D01* +X0000487999Y0001388948D01* +X0000488099Y0001388348D01* +X0000488299Y0001387848D01* +X0000488400Y0001387448D01* +X0000488500Y0001387248D01* +X0000488599Y0001387048D01* +X0000488599Y0001387048D01* +X0000488700Y0001387148D01* +X0000489000Y0001387148D01* +X0000489399Y0001387248D01* +X0000489800Y0001387348D01* +X0000490300Y0001387448D01* +X0000490700Y0001387448D01* +X0000491200Y0001387448D01* +X0000491799Y0001387448D01* +X0000492099Y0001387448D01* +X0000492600Y0001387448D01* +X0000493100Y0001387448D01* +X0000493400Y0001387348D01* +X0000493700Y0001387248D01* +X0000494099Y0001387148D01* +X0000494200Y0001387148D01* +X0000495000Y0001386748D01* +X0000495800Y0001386348D01* +X0000496400Y0001385848D01* +X0000496799Y0001385448D01* +X0000497500Y0001384648D01* +X0000498000Y0001383848D01* +X0000498399Y0001383248D01* +X0000498600Y0001382648D01* +X0000498600Y0001377548D01* +X0000498600Y0001372448D01* +X0000501499Y0001372448D01* +X0000504399Y0001372448D01* +X0000504399Y0001382348D01* +X0000504399Y0001392248D01* +X0000502100Y0001392248D01* +X0000499700Y0001392248D01* +X0000499700Y0001391948D01* +X0000499600Y0001391748D01* +X0000499600Y0001391348D01* +X0000499499Y0001390848D01* +X0000499499Y0001390348D01* +X0000499400Y0001389748D01* +X0000499300Y0001389048D01* +X0000499300Y0001388548D01* +X0000499199Y0001388148D01* +X0000499199Y0001387948D01* +X0000499100Y0001387848D01* +X0000499100Y0001387948D01* +X0000498999Y0001387948D01* +X0000498899Y0001388148D01* +X0000498699Y0001388448D01* +X0000498399Y0001388848D01* +X0000498099Y0001389248D01* +X0000497799Y0001389648D01* +X0000497400Y0001390048D01* +X0000497200Y0001390248D01* +X0000496199Y0001391048D01* +X0000495099Y0001391748D01* +X0000493900Y0001392248D01* +X0000492699Y0001392548D01* +X0000491299Y0001392648D01* +X0000490100Y0001392648D01* +X0000489600Y0001392548D01* +X0000489000Y0001392448D01* +X0000488400Y0001392348D01* +X0000487900Y0001392148D01* +X0000487499Y0001392048D01* +X0000487400Y0001391948D01* +X0000487400Y0001391848D01* +X0000487400Y0001391848D01* +G36* +X0000706199Y0001398248D02* +X0000706300Y0001397648D01* +X0000706300Y0001397548D01* +X0000706699Y0001396848D01* +X0000707100Y0001396248D01* +X0000707799Y0001395748D01* +X0000708500Y0001395348D01* +X0000708500Y0001395348D01* +X0000709000Y0001395248D01* +X0000709699Y0001395148D01* +X0000710299Y0001395148D01* +X0000710900Y0001395148D01* +X0000711200Y0001395248D01* +X0000712000Y0001395548D01* +X0000712699Y0001395948D01* +X0000713299Y0001396548D01* +X0000713700Y0001397248D01* +X0000713700Y0001397248D01* +X0000713799Y0001397648D01* +X0000713900Y0001398248D01* +X0000713900Y0001398948D01* +X0000713799Y0001399548D01* +X0000713700Y0001399948D01* +X0000713400Y0001400548D01* +X0000712999Y0001401148D01* +X0000712399Y0001401548D01* +X0000711899Y0001401948D01* +X0000711599Y0001402048D01* +X0000711399Y0001402148D01* +X0000711099Y0001402148D01* +X0000710799Y0001402248D01* +X0000710400Y0001402248D01* +X0000710100Y0001402248D01* +X0000709499Y0001402248D01* +X0000709199Y0001402248D01* +X0000708899Y0001402148D01* +X0000708700Y0001402148D01* +X0000708399Y0001402048D01* +X0000708300Y0001401948D01* +X0000707700Y0001401648D01* +X0000707100Y0001401148D01* +X0000706699Y0001400548D01* +X0000706499Y0001400248D01* +X0000706300Y0001399648D01* +X0000706199Y0001398948D01* +X0000706199Y0001398248D01* +X0000706199Y0001398248D01* +X0000706199Y0001398248D01* +G37* +X0000706199Y0001398248D02* +X0000706300Y0001397648D01* +X0000706300Y0001397548D01* +X0000706699Y0001396848D01* +X0000707100Y0001396248D01* +X0000707799Y0001395748D01* +X0000708500Y0001395348D01* +X0000708500Y0001395348D01* +X0000709000Y0001395248D01* +X0000709699Y0001395148D01* +X0000710299Y0001395148D01* +X0000710900Y0001395148D01* +X0000711200Y0001395248D01* +X0000712000Y0001395548D01* +X0000712699Y0001395948D01* +X0000713299Y0001396548D01* +X0000713700Y0001397248D01* +X0000713700Y0001397248D01* +X0000713799Y0001397648D01* +X0000713900Y0001398248D01* +X0000713900Y0001398948D01* +X0000713799Y0001399548D01* +X0000713700Y0001399948D01* +X0000713400Y0001400548D01* +X0000712999Y0001401148D01* +X0000712399Y0001401548D01* +X0000711899Y0001401948D01* +X0000711599Y0001402048D01* +X0000711399Y0001402148D01* +X0000711099Y0001402148D01* +X0000710799Y0001402248D01* +X0000710400Y0001402248D01* +X0000710100Y0001402248D01* +X0000709499Y0001402248D01* +X0000709199Y0001402248D01* +X0000708899Y0001402148D01* +X0000708700Y0001402148D01* +X0000708399Y0001402048D01* +X0000708300Y0001401948D01* +X0000707700Y0001401648D01* +X0000707100Y0001401148D01* +X0000706699Y0001400548D01* +X0000706499Y0001400248D01* +X0000706300Y0001399648D01* +X0000706199Y0001398948D01* +X0000706199Y0001398248D01* +X0000706199Y0001398248D01* +G36* +X0000478199Y0001421548D02* +X0000478199Y0001420448D01* +X0000478400Y0001419548D01* +X0000478600Y0001418648D01* +X0000478900Y0001417648D01* +X0000479299Y0001417048D01* +X0000479700Y0001416148D01* +X0000480200Y0001415348D01* +X0000480800Y0001414648D01* +X0000481199Y0001414248D01* +X0000482200Y0001413448D01* +X0000483200Y0001412648D01* +X0000484199Y0001412148D01* +X0000485400Y0001411648D01* +X0000486699Y0001411248D01* +X0000486699Y0001411248D01* +X0000486699Y0001411248D01* +X0000486800Y0001411248D01* +X0000486899Y0001411248D01* +X0000486999Y0001411248D01* +X0000487100Y0001411248D01* +X0000487300Y0001411248D01* +X0000487400Y0001411248D01* +X0000487700Y0001411248D01* +X0000487900Y0001411248D01* +X0000488200Y0001411248D01* +X0000488500Y0001411248D01* +X0000488899Y0001411248D01* +X0000489300Y0001411248D01* +X0000489699Y0001411148D01* +X0000490300Y0001411148D01* +X0000490799Y0001411148D01* +X0000491400Y0001411148D01* +X0000492099Y0001411148D01* +X0000492800Y0001411148D01* +X0000493600Y0001411148D01* +X0000494500Y0001411148D01* +X0000495399Y0001411148D01* +X0000496400Y0001411148D01* +X0000497500Y0001411148D01* +X0000498699Y0001411148D01* +X0000499900Y0001411148D01* +X0000501200Y0001411148D01* +X0000502600Y0001411148D01* +X0000504099Y0001411148D01* +X0000505600Y0001411148D01* +X0000507300Y0001411148D01* +X0000508999Y0001411148D01* +X0000510899Y0001411148D01* +X0000512799Y0001411148D01* +X0000514900Y0001411148D01* +X0000516099Y0001411148D01* +X0000516099Y0001447948D01* +X0000516099Y0001448048D01* +X0000516300Y0001448248D01* +X0000516499Y0001448648D01* +X0000516800Y0001449248D01* +X0000517199Y0001449948D01* +X0000517699Y0001450748D01* +X0000518200Y0001451648D01* +X0000518799Y0001452648D01* +X0000519399Y0001453848D01* +X0000520199Y0001455048D01* +X0000520900Y0001456348D01* +X0000521700Y0001457748D01* +X0000522499Y0001459148D01* +X0000523400Y0001460648D01* +X0000524299Y0001462248D01* +X0000524500Y0001462648D01* +X0000532999Y0001477248D01* +X0000547100Y0001484448D01* +X0000551799Y0001486848D01* +X0000556499Y0001489148D01* +X0000561099Y0001491448D01* +X0000565499Y0001493748D01* +X0000569899Y0001495948D01* +X0000574199Y0001498048D01* +X0000578400Y0001500148D01* +X0000582399Y0001502148D01* +X0000586400Y0001504148D01* +X0000590200Y0001506048D01* +X0000593899Y0001507948D01* +X0000597500Y0001509648D01* +X0000601000Y0001511348D01* +X0000604300Y0001513048D01* +X0000607499Y0001514548D01* +X0000610499Y0001516048D01* +X0000613400Y0001517448D01* +X0000616199Y0001518848D01* +X0000618699Y0001520048D01* +X0000621200Y0001521248D01* +X0000623400Y0001522348D01* +X0000625600Y0001523248D01* +X0000627500Y0001524148D01* +X0000627699Y0001524348D01* +X0000628499Y0001524648D01* +X0000629299Y0001525048D01* +X0000630000Y0001525348D01* +X0000630599Y0001525648D01* +X0000631100Y0001525848D01* +X0000631400Y0001526048D01* +X0000631599Y0001526148D01* +X0000631699Y0001526148D01* +X0000631699Y0001526248D01* +X0000631499Y0001526448D01* +X0000631300Y0001526748D01* +X0000631000Y0001527048D01* +X0000631000Y0001527148D01* +X0000630599Y0001527548D01* +X0000630200Y0001528048D01* +X0000629799Y0001528448D01* +X0000629599Y0001528648D01* +X0000629400Y0001529048D01* +X0000629100Y0001529248D01* +X0000628999Y0001529348D01* +X0000628999Y0001529348D01* +X0000628300Y0001529048D01* +X0000627699Y0001528848D01* +X0000627099Y0001528548D01* +X0000626499Y0001528348D01* +X0000625999Y0001528148D01* +X0000625499Y0001528048D01* +X0000625199Y0001527948D01* +X0000625100Y0001527948D01* +X0000625100Y0001527948D01* +X0000625000Y0001528048D01* +X0000624800Y0001528248D01* +X0000624699Y0001528648D01* +X0000624599Y0001528848D01* +X0000624399Y0001529248D01* +X0000624200Y0001529648D01* +X0000624000Y0001529948D01* +X0000623899Y0001530048D01* +X0000623799Y0001530248D01* +X0000623599Y0001530348D01* +X0000623400Y0001530348D01* +X0000622999Y0001530348D01* +X0000622600Y0001530348D01* +X0000622000Y0001530248D01* +X0000621399Y0001530148D01* +X0000620799Y0001529948D01* +X0000620200Y0001529848D01* +X0000619799Y0001529648D01* +X0000619600Y0001529648D01* +X0000619199Y0001529348D01* +X0000618899Y0001528948D01* +X0000618699Y0001528548D01* +X0000618599Y0001528148D01* +X0000618599Y0001528148D01* +X0000618599Y0001527848D01* +X0000618500Y0001527548D01* +X0000618500Y0001527348D01* +X0000618500Y0001527248D01* +X0000618500Y0001527248D01* +X0000618599Y0001527148D01* +X0000618899Y0001527148D01* +X0000619199Y0001527148D01* +X0000619499Y0001527148D01* +X0000619999Y0001527148D01* +X0000620299Y0001527148D01* +X0000620500Y0001527048D01* +X0000620700Y0001526948D01* +X0000620799Y0001526748D01* +X0000620899Y0001526648D01* +X0000621099Y0001526348D01* +X0000620299Y0001526048D01* +X0000619100Y0001525548D01* +X0000617700Y0001524948D01* +X0000616199Y0001524248D01* +X0000614500Y0001523548D01* +X0000612599Y0001522748D01* +X0000610499Y0001521748D01* +X0000608299Y0001520748D01* +X0000605899Y0001519748D01* +X0000603300Y0001518548D01* +X0000600599Y0001517248D01* +X0000597699Y0001515948D01* +X0000594599Y0001514548D01* +X0000591300Y0001513048D01* +X0000587899Y0001511448D01* +X0000584299Y0001509748D01* +X0000580499Y0001508048D01* +X0000576599Y0001506148D01* +X0000572500Y0001504248D01* +X0000568199Y0001502248D01* +X0000563799Y0001500148D01* +X0000559199Y0001498048D01* +X0000554400Y0001495748D01* +X0000551400Y0001494348D01* +X0000549999Y0001493648D01* +X0000548599Y0001493048D01* +X0000547300Y0001492448D01* +X0000546000Y0001491848D01* +X0000544900Y0001491248D01* +X0000543800Y0001490748D01* +X0000542799Y0001490348D01* +X0000541999Y0001489948D01* +X0000541199Y0001489548D01* +X0000540600Y0001489348D01* +X0000540200Y0001489048D01* +X0000539899Y0001488948D01* +X0000539799Y0001488948D01* +X0000539700Y0001488848D01* +X0000539799Y0001488948D01* +X0000539899Y0001489248D01* +X0000540099Y0001489648D01* +X0000540399Y0001490148D01* +X0000540800Y0001490848D01* +X0000541300Y0001491548D01* +X0000541799Y0001492448D01* +X0000542299Y0001493448D01* +X0000542899Y0001494448D01* +X0000543600Y0001495648D01* +X0000544300Y0001496748D01* +X0000544999Y0001498048D01* +X0000545700Y0001499248D01* +X0000546399Y0001500548D01* +X0000547199Y0001501848D01* +X0000547999Y0001503148D01* +X0000548700Y0001504448D01* +X0000549399Y0001505748D01* +X0000550199Y0001507048D01* +X0000550900Y0001508248D01* +X0000551599Y0001509348D01* +X0000552200Y0001510448D01* +X0000552800Y0001511448D01* +X0000553300Y0001512448D01* +X0000553799Y0001513248D01* +X0000554299Y0001513948D01* +X0000554599Y0001514548D01* +X0000554899Y0001515048D01* +X0000555099Y0001515448D01* +X0000555200Y0001515648D01* +X0000555200Y0001515648D01* +X0000555399Y0001515648D01* +X0000555699Y0001515748D01* +X0000556100Y0001515848D01* +X0000556699Y0001516048D01* +X0000557500Y0001516248D01* +X0000558399Y0001516548D01* +X0000559400Y0001516748D01* +X0000560500Y0001517048D01* +X0000561699Y0001517448D01* +X0000562999Y0001517748D01* +X0000564399Y0001518148D01* +X0000565799Y0001518448D01* +X0000566700Y0001518748D01* +X0000568699Y0001519248D01* +X0000570800Y0001519848D01* +X0000573000Y0001520448D01* +X0000575400Y0001521048D01* +X0000577799Y0001521648D01* +X0000580199Y0001522348D01* +X0000582699Y0001522948D01* +X0000585200Y0001523648D01* +X0000587700Y0001524348D01* +X0000590200Y0001524948D01* +X0000592699Y0001525648D01* +X0000595100Y0001526248D01* +X0000597500Y0001526948D01* +X0000599700Y0001527548D01* +X0000601900Y0001528148D01* +X0000603899Y0001528648D01* +X0000605799Y0001529148D01* +X0000607600Y0001529648D01* +X0000609000Y0001530048D01* +X0000609899Y0001530248D01* +X0000610900Y0001530548D01* +X0000611700Y0001530748D01* +X0000611700Y0001536748D01* +X0000611799Y0001536848D01* +X0000611799Y0001536748D01* +X0000611799Y0001536748D01* +X0000611700Y0001536748D01* +X0000611700Y0001530748D01* +X0000612000Y0001530848D01* +X0000613100Y0001531148D01* +X0000614200Y0001531448D01* +X0000615399Y0001531848D01* +X0000616600Y0001532148D01* +X0000617799Y0001532448D01* +X0000618899Y0001532748D01* +X0000619999Y0001533048D01* +X0000621099Y0001533348D01* +X0000622100Y0001533648D01* +X0000622999Y0001533848D01* +X0000623700Y0001534148D01* +X0000624399Y0001534248D01* +X0000624899Y0001534448D01* +X0000625300Y0001534548D01* +X0000625499Y0001534648D01* +X0000625600Y0001534648D01* +X0000625499Y0001534748D01* +X0000625499Y0001534948D01* +X0000625300Y0001535348D01* +X0000625100Y0001535748D01* +X0000624899Y0001536248D01* +X0000624599Y0001536748D01* +X0000624399Y0001537248D01* +X0000624200Y0001537748D01* +X0000624000Y0001538148D01* +X0000623799Y0001538448D01* +X0000623700Y0001538548D01* +X0000623700Y0001538548D01* +X0000623500Y0001538548D01* +X0000623299Y0001538548D01* +X0000622799Y0001538448D01* +X0000622199Y0001538348D01* +X0000621500Y0001538248D01* +X0000620700Y0001538148D01* +X0000619799Y0001538048D01* +X0000618800Y0001537848D01* +X0000617799Y0001537748D01* +X0000616799Y0001537548D01* +X0000615800Y0001537448D01* +X0000614899Y0001537248D01* +X0000614100Y0001537148D01* +X0000613400Y0001537048D01* +X0000612800Y0001536948D01* +X0000612399Y0001536948D01* +X0000612099Y0001536848D01* +X0000612000Y0001536848D01* +X0000612000Y0001536848D01* +X0000612099Y0001536948D01* +X0000612200Y0001536948D01* +X0000612800Y0001537248D01* +X0000613199Y0001537548D01* +X0000613400Y0001537748D01* +X0000613499Y0001537948D01* +X0000613600Y0001538248D01* +X0000613600Y0001538648D01* +X0000613600Y0001539248D01* +X0000613600Y0001539348D01* +X0000613699Y0001539848D01* +X0000613699Y0001540248D01* +X0000613600Y0001540548D01* +X0000613600Y0001540748D01* +X0000613600Y0001540748D01* +X0000613499Y0001540748D01* +X0000613199Y0001540748D01* +X0000612800Y0001540648D01* +X0000612500Y0001540648D01* +X0000611899Y0001540548D01* +X0000611200Y0001540548D01* +X0000610900Y0001540548D01* +X0000610600Y0001540548D01* +X0000610300Y0001540548D01* +X0000610000Y0001540448D01* +X0000609599Y0001540348D01* +X0000609399Y0001540248D01* +X0000609000Y0001540048D01* +X0000608400Y0001539848D01* +X0000607799Y0001539548D01* +X0000607300Y0001539348D01* +X0000607100Y0001539248D01* +X0000606500Y0001538948D01* +X0000606099Y0001538748D01* +X0000605799Y0001538548D01* +X0000605599Y0001538448D01* +X0000605400Y0001538248D01* +X0000605400Y0001538148D01* +X0000605100Y0001537748D01* +X0000604999Y0001537348D01* +X0000605100Y0001537148D01* +X0000605100Y0001537048D01* +X0000605400Y0001536848D01* +X0000605899Y0001536748D01* +X0000606500Y0001536548D01* +X0000607400Y0001536448D01* +X0000607799Y0001536448D01* +X0000609200Y0001536448D01* +X0000594800Y0001534148D01* +X0000592900Y0001533848D01* +X0000591000Y0001533448D01* +X0000589100Y0001533148D01* +X0000587100Y0001532848D01* +X0000585099Y0001532548D01* +X0000583100Y0001532248D01* +X0000581200Y0001531948D01* +X0000579399Y0001531648D01* +X0000577600Y0001531348D01* +X0000575899Y0001531048D01* +X0000574400Y0001530848D01* +X0000573000Y0001530648D01* +X0000571799Y0001530448D01* +X0000571600Y0001530348D01* +X0000570300Y0001530148D01* +X0000569100Y0001529948D01* +X0000568000Y0001529748D01* +X0000566899Y0001529648D01* +X0000565900Y0001529448D01* +X0000565000Y0001529348D01* +X0000564300Y0001529248D01* +X0000563700Y0001529148D01* +X0000563299Y0001529048D01* +X0000562999Y0001529048D01* +X0000562900Y0001528948D01* +X0000562900Y0001529048D01* +X0000563100Y0001529348D01* +X0000563299Y0001529748D01* +X0000563599Y0001530248D01* +X0000563899Y0001530848D01* +X0000564399Y0001531548D01* +X0000564800Y0001532448D01* +X0000565300Y0001533248D01* +X0000565900Y0001534248D01* +X0000566200Y0001534748D01* +X0000569500Y0001540548D01* +X0000570000Y0001540648D01* +X0000570200Y0001540648D01* +X0000570500Y0001540648D01* +X0000571000Y0001540748D01* +X0000571699Y0001540848D01* +X0000572500Y0001540948D01* +X0000573399Y0001541148D01* +X0000574400Y0001541248D01* +X0000575500Y0001541448D01* +X0000576699Y0001541648D01* +X0000577900Y0001541848D01* +X0000578700Y0001541948D01* +X0000581299Y0001542348D01* +X0000583900Y0001542648D01* +X0000586600Y0001543148D01* +X0000589300Y0001543548D01* +X0000591899Y0001543948D01* +X0000594599Y0001544348D01* +X0000597200Y0001544748D01* +X0000599700Y0001545148D01* +X0000602200Y0001545548D01* +X0000604499Y0001545948D01* +X0000606800Y0001546248D01* +X0000608799Y0001546648D01* +X0000610699Y0001546948D01* +X0000610999Y0001546948D01* +X0000612500Y0001547248D01* +X0000613900Y0001547448D01* +X0000615099Y0001547648D01* +X0000616199Y0001547848D01* +X0000617100Y0001548048D01* +X0000617899Y0001548148D01* +X0000618500Y0001548248D01* +X0000619000Y0001548348D01* +X0000619499Y0001548448D01* +X0000619799Y0001548448D01* +X0000619999Y0001548548D01* +X0000620200Y0001548548D01* +X0000620400Y0001548648D01* +X0000620400Y0001548648D01* +X0000620500Y0001548648D01* +X0000620500Y0001548648D01* +X0000620500Y0001548648D01* +X0000620500Y0001548748D01* +X0000620500Y0001549048D01* +X0000620500Y0001549448D01* +X0000620400Y0001549648D01* +X0000620400Y0001550148D01* +X0000620299Y0001550748D01* +X0000620200Y0001551348D01* +X0000620100Y0001551548D01* +X0000620100Y0001552048D01* +X0000619999Y0001552348D01* +X0000619999Y0001552648D01* +X0000619900Y0001552648D01* +X0000619799Y0001552648D01* +X0000619600Y0001552648D01* +X0000619100Y0001552648D01* +X0000618500Y0001552648D01* +X0000617700Y0001552548D01* +X0000616699Y0001552548D01* +X0000615699Y0001552448D01* +X0000614500Y0001552448D01* +X0000613199Y0001552348D01* +X0000611799Y0001552348D01* +X0000610300Y0001552248D01* +X0000608700Y0001552148D01* +X0000607100Y0001552048D01* +X0000605400Y0001551948D01* +X0000604199Y0001551948D01* +X0000601199Y0001551748D01* +X0000598400Y0001551648D01* +X0000595900Y0001551548D01* +X0000593500Y0001551348D01* +X0000591300Y0001551248D01* +X0000589199Y0001551148D01* +X0000587400Y0001551048D01* +X0000585599Y0001550948D01* +X0000584100Y0001550948D01* +X0000582699Y0001550848D01* +X0000581400Y0001550748D01* +X0000580300Y0001550748D01* +X0000579300Y0001550648D01* +X0000578400Y0001550648D01* +X0000577600Y0001550648D01* +X0000577000Y0001550548D01* +X0000576500Y0001550548D01* +X0000576099Y0001550548D01* +X0000575799Y0001550548D01* +X0000575599Y0001550548D01* +X0000575400Y0001550548D01* +X0000575400Y0001550548D01* +X0000575400Y0001550648D01* +X0000575599Y0001550848D01* +X0000575700Y0001551248D01* +X0000576000Y0001551648D01* +X0000576200Y0001552148D01* +X0000576500Y0001552648D01* +X0000576800Y0001553248D01* +X0000577100Y0001553648D01* +X0000577400Y0001554148D01* +X0000577600Y0001554448D01* +X0000577699Y0001554748D01* +X0000577799Y0001554848D01* +X0000577900Y0001554848D01* +X0000578200Y0001554848D01* +X0000578700Y0001554848D01* +X0000579300Y0001554948D01* +X0000580100Y0001555048D01* +X0000580999Y0001555048D01* +X0000582000Y0001555148D01* +X0000583199Y0001555248D01* +X0000584500Y0001555348D01* +X0000585899Y0001555548D01* +X0000587299Y0001555648D01* +X0000588800Y0001555748D01* +X0000590400Y0001555948D01* +X0000591800Y0001556048D01* +X0000593500Y0001556148D01* +X0000595100Y0001556248D01* +X0000596599Y0001556448D01* +X0000598000Y0001556548D01* +X0000599400Y0001556648D01* +X0000600599Y0001556748D01* +X0000601799Y0001556848D01* +X0000602799Y0001556948D01* +X0000603699Y0001557048D01* +X0000604400Y0001557148D01* +X0000604999Y0001557148D01* +X0000605500Y0001557148D01* +X0000605700Y0001557248D01* +X0000605799Y0001557248D01* +X0000605700Y0001557248D01* +X0000605400Y0001557248D01* +X0000604999Y0001557348D01* +X0000604400Y0001557448D01* +X0000603599Y0001557448D01* +X0000602700Y0001557548D01* +X0000601699Y0001557648D01* +X0000600500Y0001557848D01* +X0000599299Y0001557948D01* +X0000597899Y0001558048D01* +X0000596500Y0001558248D01* +X0000595000Y0001558348D01* +X0000593500Y0001558548D01* +X0000593299Y0001558548D01* +X0000591699Y0001558648D01* +X0000590200Y0001558848D01* +X0000588800Y0001558948D01* +X0000587500Y0001559148D01* +X0000586199Y0001559248D01* +X0000585000Y0001559348D01* +X0000583999Y0001559448D01* +X0000583100Y0001559448D01* +X0000582300Y0001559548D01* +X0000581599Y0001559648D01* +X0000581200Y0001559648D01* +X0000580799Y0001559748D01* +X0000580699Y0001559748D01* +X0000580699Y0001559748D01* +X0000580799Y0001559848D01* +X0000580900Y0001560048D01* +X0000581100Y0001560448D01* +X0000581299Y0001560848D01* +X0000581500Y0001561148D01* +X0000582300Y0001562548D01* +X0000601100Y0001562448D01* +X0000619999Y0001562448D01* +X0000619999Y0001562648D01* +X0000620100Y0001562848D01* +X0000620100Y0001563148D01* +X0000620200Y0001563648D01* +X0000620200Y0001564148D01* +X0000620299Y0001564448D01* +X0000620400Y0001564948D01* +X0000620400Y0001565448D01* +X0000620500Y0001565948D01* +X0000620500Y0001566248D01* +X0000620500Y0001566248D01* +X0000620599Y0001566648D01* +X0000616300Y0001567748D01* +X0000615300Y0001567948D01* +X0000614100Y0001568248D01* +X0000612699Y0001568648D01* +X0000611299Y0001568948D01* +X0000609699Y0001569348D01* +X0000608100Y0001569848D01* +X0000606399Y0001570248D01* +X0000604699Y0001570648D01* +X0000603000Y0001571148D01* +X0000601300Y0001571548D01* +X0000599700Y0001571948D01* +X0000598100Y0001572348D01* +X0000596700Y0001572748D01* +X0000595300Y0001573048D01* +X0000594099Y0001573348D01* +X0000592999Y0001573648D01* +X0000592900Y0001573648D01* +X0000592100Y0001573948D01* +X0000591399Y0001574148D01* +X0000590700Y0001574248D01* +X0000590200Y0001574448D01* +X0000589699Y0001574548D01* +X0000589499Y0001574648D01* +X0000589400Y0001574648D01* +X0000589400Y0001574648D01* +X0000589400Y0001574748D01* +X0000589499Y0001575048D01* +X0000589699Y0001575448D01* +X0000589999Y0001575848D01* +X0000590299Y0001576448D01* +X0000590599Y0001576948D01* +X0000591000Y0001577648D01* +X0000591300Y0001578248D01* +X0000591699Y0001578848D01* +X0000592000Y0001579348D01* +X0000592300Y0001579848D01* +X0000592499Y0001580248D01* +X0000592699Y0001580548D01* +X0000592799Y0001580648D01* +X0000592799Y0001580648D01* +X0000592900Y0001580648D01* +X0000593100Y0001580648D01* +X0000593400Y0001580548D01* +X0000593700Y0001580548D01* +X0000594099Y0001580448D01* +X0000594699Y0001580248D01* +X0000595499Y0001580048D01* +X0000596400Y0001579848D01* +X0000597399Y0001579648D01* +X0000598499Y0001579348D01* +X0000599700Y0001579048D01* +X0000601000Y0001578748D01* +X0000602299Y0001578348D01* +X0000603699Y0001578048D01* +X0000604999Y0001577748D01* +X0000606399Y0001577348D01* +X0000607799Y0001577048D01* +X0000609200Y0001576648D01* +X0000610499Y0001576348D01* +X0000611799Y0001576048D01* +X0000613000Y0001575748D01* +X0000614100Y0001575448D01* +X0000615200Y0001575148D01* +X0000616100Y0001574948D01* +X0000616900Y0001574748D01* +X0000617500Y0001574548D01* +X0000617599Y0001574548D01* +X0000618500Y0001574248D01* +X0000619400Y0001574048D01* +X0000620200Y0001573848D01* +X0000620899Y0001573648D01* +X0000621500Y0001573548D01* +X0000622000Y0001573448D01* +X0000622300Y0001573348D01* +X0000622400Y0001573348D01* +X0000622400Y0001573348D01* +X0000622499Y0001573548D01* +X0000622600Y0001573748D01* +X0000622699Y0001573948D01* +X0000622900Y0001574348D01* +X0000622900Y0001574548D01* +X0000623100Y0001575048D01* +X0000622600Y0001575448D01* +X0000622000Y0001575748D01* +X0000621300Y0001576248D01* +X0000620400Y0001576748D01* +X0000619400Y0001577348D01* +X0000618200Y0001578048D01* +X0000616999Y0001578748D01* +X0000615699Y0001579548D01* +X0000614299Y0001580348D01* +X0000612899Y0001581248D01* +X0000611400Y0001582148D01* +X0000609800Y0001583048D01* +X0000608299Y0001583948D01* +X0000606699Y0001584848D01* +X0000605200Y0001585748D01* +X0000603699Y0001586548D01* +X0000602799Y0001587048D01* +X0000601900Y0001587548D01* +X0000601100Y0001588048D01* +X0000600399Y0001588548D01* +X0000599700Y0001588948D01* +X0000599100Y0001589248D01* +X0000598699Y0001589548D01* +X0000598300Y0001589748D01* +X0000598199Y0001589848D01* +X0000598100Y0001589848D01* +X0000598199Y0001589948D01* +X0000598300Y0001590248D01* +X0000598499Y0001590648D01* +X0000598699Y0001591048D01* +X0000598999Y0001591548D01* +X0000599299Y0001592048D01* +X0000599599Y0001592548D01* +X0000599899Y0001592948D01* +X0000600099Y0001593348D01* +X0000600300Y0001593648D01* +X0000600399Y0001593748D01* +X0000600399Y0001593748D01* +X0000600500Y0001593748D01* +X0000600800Y0001593548D01* +X0000601100Y0001593348D01* +X0000601600Y0001593048D01* +X0000602200Y0001592648D01* +X0000602899Y0001592148D01* +X0000603699Y0001591648D01* +X0000604499Y0001591148D01* +X0000605299Y0001590648D01* +X0000606200Y0001590048D01* +X0000607199Y0001589448D01* +X0000608299Y0001588648D01* +X0000609500Y0001587848D01* +X0000610799Y0001587048D01* +X0000612099Y0001586148D01* +X0000613400Y0001585348D01* +X0000614799Y0001584448D01* +X0000616000Y0001583648D01* +X0000617299Y0001582848D01* +X0000617299Y0001582848D01* +X0000624500Y0001578148D01* +X0000625100Y0001579348D01* +X0000625799Y0001580548D01* +X0000626499Y0001581848D01* +X0000627200Y0001582948D01* +X0000627300Y0001583148D01* +X0000627500Y0001583448D01* +X0000627699Y0001583748D01* +X0000627800Y0001583948D01* +X0000627800Y0001583948D01* +X0000627699Y0001584048D01* +X0000627500Y0001584248D01* +X0000627200Y0001584548D01* +X0000626700Y0001584948D01* +X0000626100Y0001585448D01* +X0000625499Y0001586048D01* +X0000624699Y0001586748D01* +X0000623799Y0001587548D01* +X0000622900Y0001588348D01* +X0000621899Y0001589248D01* +X0000620899Y0001590248D01* +X0000619799Y0001591248D01* +X0000618699Y0001592248D01* +X0000617500Y0001593348D01* +X0000616300Y0001594448D01* +X0000615099Y0001595548D01* +X0000613900Y0001596548D01* +X0000612699Y0001597648D01* +X0000611500Y0001598748D01* +X0000610300Y0001599848D01* +X0000609200Y0001600848D01* +X0000609000Y0001601048D01* +X0000608200Y0001601748D01* +X0000607600Y0001602348D01* +X0000607000Y0001602848D01* +X0000606599Y0001603248D01* +X0000606399Y0001603548D01* +X0000606200Y0001603748D01* +X0000606099Y0001603848D01* +X0000606099Y0001603848D01* +X0000606200Y0001603948D01* +X0000606300Y0001604148D01* +X0000606599Y0001604548D01* +X0000606800Y0001605048D01* +X0000607100Y0001605548D01* +X0000607400Y0001606048D01* +X0000607699Y0001606648D01* +X0000608100Y0001607148D01* +X0000608299Y0001607648D01* +X0000608599Y0001608048D01* +X0000608799Y0001608448D01* +X0000608899Y0001608548D01* +X0000608899Y0001608648D01* +X0000609000Y0001608548D01* +X0000609200Y0001608448D01* +X0000609699Y0001608248D01* +X0000610199Y0001608048D01* +X0000610900Y0001607648D01* +X0000611700Y0001607248D01* +X0000612599Y0001606848D01* +X0000613600Y0001606348D01* +X0000614700Y0001605848D01* +X0000615800Y0001605248D01* +X0000616999Y0001604648D01* +X0000618000Y0001604148D01* +X0000619199Y0001603548D01* +X0000620400Y0001602948D01* +X0000621500Y0001602448D01* +X0000622600Y0001601848D01* +X0000623599Y0001601348D01* +X0000624500Y0001600948D01* +X0000625199Y0001600548D01* +X0000625900Y0001600248D01* +X0000626400Y0001600048D01* +X0000626799Y0001599848D01* +X0000627000Y0001599748D01* +X0000627000Y0001599748D01* +X0000627000Y0001599748D01* +X0000626799Y0001600048D01* +X0000626499Y0001600348D01* +X0000626200Y0001600848D01* +X0000625699Y0001601448D01* +X0000625100Y0001602148D01* +X0000624500Y0001602948D01* +X0000623799Y0001603848D01* +X0000623100Y0001604748D01* +X0000622199Y0001605848D01* +X0000621399Y0001606848D01* +X0000620500Y0001608048D01* +X0000620299Y0001608248D01* +X0000613600Y0001616748D01* +X0000613799Y0001617048D01* +X0000613900Y0001617248D01* +X0000614100Y0001617548D01* +X0000614299Y0001617948D01* +X0000614599Y0001618448D01* +X0000614899Y0001619048D01* +X0000614899Y0001619048D01* +X0000615200Y0001619648D01* +X0000615599Y0001620148D01* +X0000615800Y0001620648D01* +X0000616100Y0001621048D01* +X0000616199Y0001621248D01* +X0000616600Y0001621848D01* +X0000618200Y0001620348D01* +X0000618800Y0001619748D01* +X0000619400Y0001619148D01* +X0000620100Y0001618548D01* +X0000620700Y0001617948D01* +X0000621200Y0001617448D01* +X0000622699Y0001616048D01* +X0000622100Y0001615648D01* +X0000621599Y0001615148D01* +X0000621200Y0001614548D01* +X0000620899Y0001613848D01* +X0000620799Y0001613248D01* +X0000620799Y0001612848D01* +X0000620899Y0001612548D01* +X0000621099Y0001612248D01* +X0000621399Y0001612048D01* +X0000621500Y0001612048D01* +X0000622000Y0001611748D01* +X0000622400Y0001611348D01* +X0000622499Y0001611148D01* +X0000622799Y0001610848D01* +X0000623100Y0001610648D01* +X0000623299Y0001610448D01* +X0000623299Y0001610448D01* +X0000623500Y0001610348D01* +X0000623700Y0001610248D01* +X0000623899Y0001609948D01* +X0000624300Y0001609648D01* +X0000624699Y0001609148D01* +X0000624800Y0001609048D01* +X0000625199Y0001608648D01* +X0000625600Y0001608448D01* +X0000625999Y0001608248D01* +X0000626400Y0001608148D01* +X0000626400Y0001608248D01* +X0000626700Y0001608348D01* +X0000627099Y0001608648D01* +X0000627500Y0001609048D01* +X0000627800Y0001609448D01* +X0000628100Y0001609748D01* +X0000628300Y0001609948D01* +X0000628400Y0001610048D01* +X0000628400Y0001610048D01* +X0000628499Y0001609948D01* +X0000628699Y0001609748D01* +X0000628999Y0001609448D01* +X0000629299Y0001609048D01* +X0000629700Y0001608648D01* +X0000629900Y0001608448D01* +X0000632499Y0001605348D01* +X0000634999Y0001602348D01* +X0000637300Y0001599448D01* +X0000638400Y0001597948D01* +X0000639800Y0001596148D01* +X0000640799Y0001596848D01* +X0000641400Y0001597248D01* +X0000642099Y0001597648D01* +X0000642699Y0001598048D01* +X0000643400Y0001598448D01* +X0000643900Y0001598748D01* +X0000644299Y0001598948D01* +X0000644599Y0001599148D01* +X0000644900Y0001599248D01* +X0000644900Y0001599348D01* +X0000645000Y0001599348D01* +X0000645000Y0001599348D01* +X0000645000Y0001599448D01* +X0000645000Y0001599548D01* +X0000644900Y0001599748D01* +X0000644799Y0001599948D01* +X0000644700Y0001600248D01* +X0000644599Y0001600548D01* +X0000644400Y0001601048D01* +X0000644100Y0001601548D01* +X0000643900Y0001602248D01* +X0000643499Y0001602948D01* +X0000643100Y0001603848D01* +X0000642699Y0001604948D01* +X0000642099Y0001606048D01* +X0000641499Y0001607448D01* +X0000640900Y0001608848D01* +X0000640499Y0001609748D01* +X0000639699Y0001611348D01* +X0000639000Y0001613148D01* +X0000638100Y0001614948D01* +X0000637199Y0001616848D01* +X0000636300Y0001618848D01* +X0000635400Y0001620848D01* +X0000634600Y0001622748D01* +X0000633699Y0001624648D01* +X0000632900Y0001626448D01* +X0000632100Y0001628148D01* +X0000631400Y0001629748D01* +X0000631400Y0001629748D01* +X0000630800Y0001631148D01* +X0000630200Y0001632348D01* +X0000629599Y0001633548D01* +X0000629100Y0001634648D01* +X0000628600Y0001635748D01* +X0000628199Y0001636648D01* +X0000627800Y0001637548D01* +X0000627500Y0001638248D01* +X0000627300Y0001638848D01* +X0000627099Y0001639248D01* +X0000626900Y0001639548D01* +X0000626900Y0001639648D01* +X0000626900Y0001639648D01* +X0000626900Y0001639748D01* +X0000627099Y0001640048D01* +X0000627300Y0001640348D01* +X0000627500Y0001640848D01* +X0000627899Y0001641448D01* +X0000628199Y0001642048D01* +X0000628600Y0001642748D01* +X0000628999Y0001643448D01* +X0000629400Y0001644248D01* +X0000629799Y0001644948D01* +X0000630200Y0001645548D01* +X0000630599Y0001646148D01* +X0000630899Y0001646648D01* +X0000631100Y0001647148D01* +X0000631300Y0001647448D01* +X0000631400Y0001647548D01* +X0000631499Y0001647748D01* +X0000631900Y0001647148D01* +X0000632100Y0001646648D01* +X0000632499Y0001646348D01* +X0000632900Y0001646148D01* +X0000633300Y0001646148D01* +X0000634000Y0001646248D01* +X0000634699Y0001646448D01* +X0000635299Y0001646848D01* +X0000636000Y0001647448D01* +X0000636699Y0001648248D01* +X0000637100Y0001648848D01* +X0000637699Y0001649748D01* +X0000638200Y0001650448D01* +X0000638499Y0001651048D01* +X0000638700Y0001651548D01* +X0000638799Y0001652048D01* +X0000638900Y0001652048D01* +X0000639000Y0001652548D01* +X0000639000Y0001652948D01* +X0000639000Y0001653248D01* +X0000638900Y0001653548D01* +X0000638700Y0001653848D01* +X0000638400Y0001654148D01* +X0000638100Y0001654448D01* +X0000637799Y0001654848D01* +X0000637400Y0001655248D01* +X0000637100Y0001655548D01* +X0000636800Y0001655848D01* +X0000636399Y0001656248D01* +X0000638100Y0001659148D01* +X0000638499Y0001659848D01* +X0000638799Y0001660448D01* +X0000639099Y0001660948D01* +X0000639399Y0001661448D01* +X0000639599Y0001661848D01* +X0000639800Y0001662048D01* +X0000639800Y0001662048D01* +X0000639800Y0001661948D01* +X0000639899Y0001661748D01* +X0000640000Y0001661248D01* +X0000640199Y0001660648D01* +X0000640499Y0001659948D01* +X0000640699Y0001659048D01* +X0000640999Y0001657948D01* +X0000641400Y0001656748D01* +X0000641799Y0001655448D01* +X0000642200Y0001654048D01* +X0000642599Y0001652548D01* +X0000643100Y0001650948D01* +X0000643600Y0001649248D01* +X0000644100Y0001647448D01* +X0000644700Y0001645548D01* +X0000645200Y0001643648D01* +X0000645800Y0001641648D01* +X0000646400Y0001639648D01* +X0000646999Y0001637548D01* +X0000647599Y0001635448D01* +X0000648200Y0001633248D01* +X0000648800Y0001631148D01* +X0000649499Y0001628948D01* +X0000650100Y0001626848D01* +X0000650700Y0001624648D01* +X0000651300Y0001622548D01* +X0000651899Y0001620448D01* +X0000652499Y0001618448D01* +X0000653100Y0001616348D01* +X0000653599Y0001614448D01* +X0000653900Y0001613348D01* +X0000654300Y0001612048D01* +X0000654699Y0001610748D01* +X0000655000Y0001609548D01* +X0000655300Y0001608448D01* +X0000655600Y0001607348D01* +X0000655899Y0001606448D01* +X0000656200Y0001605648D01* +X0000656400Y0001604948D01* +X0000656499Y0001604348D01* +X0000656599Y0001603948D01* +X0000656700Y0001603748D01* +X0000656700Y0001603748D01* +X0000656799Y0001603648D01* +X0000657099Y0001603748D01* +X0000657500Y0001603748D01* +X0000658000Y0001603848D01* +X0000658100Y0001603848D01* +X0000660200Y0001604248D01* +X0000662400Y0001604448D01* +X0000664499Y0001604648D01* +X0000666699Y0001604748D01* +X0000668700Y0001604648D01* +X0000670300Y0001604648D01* +X0000670899Y0001604548D01* +X0000671299Y0001604548D01* +X0000671499Y0001604548D01* +X0000671700Y0001604648D01* +X0000671799Y0001604748D01* +X0000671799Y0001604948D01* +X0000671799Y0001605048D01* +X0000671799Y0001605248D01* +X0000671900Y0001605648D01* +X0000671900Y0001606048D01* +X0000672000Y0001606548D01* +X0000672099Y0001607548D01* +X0000672099Y0001608348D01* +X0000672099Y0001608948D01* +X0000672000Y0001609248D01* +X0000671799Y0001609448D01* +X0000671599Y0001609748D01* +X0000671299Y0001610048D01* +X0000670899Y0001610448D01* +X0000670699Y0001610548D01* +X0000670100Y0001611048D01* +X0000669699Y0001611548D01* +X0000669300Y0001611948D01* +X0000669000Y0001612348D01* +X0000668799Y0001612748D01* +X0000668599Y0001612948D01* +X0000668299Y0001613548D01* +X0000668599Y0001615048D01* +X0000668700Y0001615648D01* +X0000668900Y0001616348D01* +X0000669000Y0001616948D01* +X0000669099Y0001617448D01* +X0000669200Y0001617548D01* +X0000669300Y0001617948D01* +X0000669399Y0001618348D01* +X0000669399Y0001618648D01* +X0000669399Y0001618748D01* +X0000669200Y0001619048D01* +X0000668900Y0001619448D01* +X0000668599Y0001619748D01* +X0000668299Y0001619848D01* +X0000668100Y0001619948D01* +X0000667899Y0001620048D01* +X0000667600Y0001620048D01* +X0000667300Y0001620048D01* +X0000667000Y0001619948D01* +X0000666500Y0001619948D01* +X0000666099Y0001619948D01* +X0000665900Y0001619948D01* +X0000665700Y0001620148D01* +X0000665599Y0001620348D01* +X0000665599Y0001620548D01* +X0000665299Y0001620748D01* +X0000665200Y0001620848D01* +X0000664999Y0001620948D01* +X0000664800Y0001620948D01* +X0000664499Y0001620948D01* +X0000664300Y0001620848D01* +X0000663899Y0001620748D01* +X0000663500Y0001620648D01* +X0000663000Y0001620448D01* +X0000662700Y0001620248D01* +X0000662200Y0001620048D01* +X0000661800Y0001619848D01* +X0000661600Y0001619848D01* +X0000661400Y0001619848D01* +X0000661300Y0001620048D01* +X0000661100Y0001620248D01* +X0000661100Y0001620348D01* +X0000661000Y0001620448D01* +X0000661000Y0001620748D01* +X0000660899Y0001621148D01* +X0000660800Y0001621648D01* +X0000660800Y0001622248D01* +X0000660699Y0001623048D01* +X0000660599Y0001623948D01* +X0000660500Y0001625148D01* +X0000660500Y0001626148D01* +X0000660399Y0001626548D01* +X0000660399Y0001627148D01* +X0000660399Y0001627848D01* +X0000660399Y0001628548D01* +X0000660399Y0001629448D01* +X0000660399Y0001630448D01* +X0000660300Y0001631448D01* +X0000660300Y0001632448D01* +X0000660300Y0001633548D01* +X0000660300Y0001634548D01* +X0000660300Y0001635648D01* +X0000660300Y0001636648D01* +X0000660300Y0001637548D01* +X0000660300Y0001638448D01* +X0000660300Y0001639248D01* +X0000660300Y0001639948D01* +X0000660300Y0001640548D01* +X0000660300Y0001640948D01* +X0000660300Y0001641148D01* +X0000660300Y0001641248D01* +X0000660399Y0001641148D01* +X0000660399Y0001642148D01* +X0000660399Y0001642448D01* +X0000660399Y0001642548D01* +X0000660399Y0001642648D01* +X0000660399Y0001642548D01* +X0000660399Y0001642248D01* +X0000660399Y0001642148D01* +X0000660399Y0001641948D01* +X0000660399Y0001641748D01* +X0000660399Y0001641748D01* +X0000660399Y0001641848D01* +X0000660399Y0001642148D01* +X0000660399Y0001641148D01* +X0000660399Y0001641148D01* +X0000660500Y0001640948D01* +X0000660500Y0001640648D01* +X0000660500Y0001640548D01* +X0000660500Y0001647148D01* +X0000660500Y0001647448D01* +X0000660500Y0001647948D01* +X0000660599Y0001648448D01* +X0000660599Y0001649148D01* +X0000660599Y0001649948D01* +X0000660699Y0001650748D01* +X0000660699Y0001650948D01* +X0000661000Y0001656148D01* +X0000661300Y0001661548D01* +X0000661699Y0001667048D01* +X0000662200Y0001672748D01* +X0000662799Y0001678648D01* +X0000663399Y0001684648D01* +X0000664100Y0001690848D01* +X0000664400Y0001693848D01* +X0000664899Y0001698248D01* +X0000665499Y0001697148D01* +X0000665900Y0001696248D01* +X0000666200Y0001695448D01* +X0000666300Y0001695248D01* +X0000666500Y0001694448D01* +X0000666800Y0001693848D01* +X0000667100Y0001693248D01* +X0000667499Y0001692848D01* +X0000667699Y0001692648D01* +X0000667899Y0001692448D01* +X0000668299Y0001692048D01* +X0000668700Y0001691548D01* +X0000669099Y0001691148D01* +X0000669300Y0001690848D01* +X0000669800Y0001690448D01* +X0000670199Y0001689948D01* +X0000670600Y0001689548D01* +X0000670999Y0001689148D01* +X0000671100Y0001689048D01* +X0000671599Y0001688648D01* +X0000672099Y0001688148D01* +X0000672599Y0001687648D01* +X0000673000Y0001687148D01* +X0000673100Y0001686848D01* +X0000673300Y0001686548D01* +X0000673400Y0001686148D01* +X0000673499Y0001685948D01* +X0000673600Y0001685448D01* +X0000673800Y0001685048D01* +X0000673900Y0001684848D01* +X0000673999Y0001684548D01* +X0000674299Y0001684248D01* +X0000674700Y0001683748D01* +X0000675099Y0001683248D01* +X0000675699Y0001682648D01* +X0000676300Y0001682048D01* +X0000676900Y0001681448D01* +X0000677499Y0001680948D01* +X0000677599Y0001680848D01* +X0000678300Y0001680248D01* +X0000678699Y0001679648D01* +X0000679100Y0001679148D01* +X0000679400Y0001678548D01* +X0000679499Y0001678348D01* +X0000679800Y0001677848D01* +X0000680200Y0001677448D01* +X0000680700Y0001677248D01* +X0000681300Y0001677148D01* +X0000682000Y0001677248D01* +X0000682600Y0001677348D01* +X0000683299Y0001677648D01* +X0000683900Y0001677948D01* +X0000684599Y0001678448D01* +X0000685300Y0001679148D01* +X0000685999Y0001679948D01* +X0000686599Y0001680748D01* +X0000687000Y0001681448D01* +X0000687500Y0001682048D01* +X0000687899Y0001682748D01* +X0000688199Y0001683348D01* +X0000688499Y0001683848D01* +X0000688800Y0001684348D01* +X0000688900Y0001684648D01* +X0000688900Y0001684648D01* +X0000688999Y0001685048D01* +X0000689099Y0001685448D01* +X0000689099Y0001685948D01* +X0000689200Y0001686348D01* +X0000689200Y0001686748D01* +X0000689200Y0001686848D01* +X0000689200Y0001687048D01* +X0000689099Y0001687248D01* +X0000688900Y0001687448D01* +X0000688699Y0001687848D01* +X0000688300Y0001688248D01* +X0000687899Y0001688748D01* +X0000687300Y0001689248D01* +X0000686599Y0001690048D01* +X0000686200Y0001690448D01* +X0000685499Y0001691148D01* +X0000684899Y0001691748D01* +X0000684399Y0001692248D01* +X0000684000Y0001692748D01* +X0000683700Y0001693148D01* +X0000683400Y0001693648D01* +X0000683200Y0001694048D01* +X0000682900Y0001694548D01* +X0000682699Y0001694948D01* +X0000682499Y0001695448D01* +X0000682199Y0001695948D01* +X0000682000Y0001696448D01* +X0000681899Y0001696648D01* +X0000681599Y0001697148D01* +X0000681200Y0001697648D01* +X0000680900Y0001698248D01* +X0000680599Y0001698848D01* +X0000680299Y0001699348D01* +X0000680099Y0001699748D01* +X0000679999Y0001700148D01* +X0000679900Y0001700248D01* +X0000679800Y0001700548D01* +X0000679800Y0001700848D01* +X0000679800Y0001700848D01* +X0000679699Y0001701148D01* +X0000679499Y0001701448D01* +X0000679199Y0001701848D01* +X0000678800Y0001702248D01* +X0000678300Y0001702648D01* +X0000677900Y0001702948D01* +X0000677499Y0001703148D01* +X0000677200Y0001703348D01* +X0000677100Y0001703348D01* +X0000676900Y0001703248D01* +X0000676499Y0001703248D01* +X0000676100Y0001703048D01* +X0000675500Y0001702848D01* +X0000675000Y0001702748D01* +X0000674900Y0001702648D01* +X0000674299Y0001702448D01* +X0000673800Y0001702248D01* +X0000673400Y0001702148D01* +X0000673100Y0001702148D01* +X0000672899Y0001702048D01* +X0000672699Y0001702048D01* +X0000672500Y0001702048D01* +X0000672200Y0001702048D01* +X0000671599Y0001702148D01* +X0000670899Y0001702248D01* +X0000670199Y0001702448D01* +X0000669599Y0001702648D01* +X0000669099Y0001702848D01* +X0000669000Y0001702948D01* +X0000668599Y0001703148D01* +X0000668200Y0001703248D01* +X0000668100Y0001703248D01* +X0000667600Y0001703248D01* +X0000667000Y0001703048D01* +X0000666500Y0001702848D01* +X0000666000Y0001702648D01* +X0000665900Y0001702548D01* +X0000665700Y0001702348D01* +X0000665499Y0001702248D01* +X0000665400Y0001702248D01* +X0000665400Y0001702348D01* +X0000665400Y0001702548D01* +X0000665400Y0001702648D01* +X0000665400Y0001702948D01* +X0000665299Y0001703448D01* +X0000665100Y0001703848D01* +X0000664999Y0001704148D01* +X0000664699Y0001705248D01* +X0000669800Y0001714148D01* +X0000670600Y0001715448D01* +X0000671200Y0001716548D01* +X0000671900Y0001717648D01* +X0000672500Y0001718748D01* +X0000673000Y0001719648D01* +X0000673499Y0001720548D01* +X0000673999Y0001721248D01* +X0000674299Y0001721948D01* +X0000674599Y0001722448D01* +X0000674900Y0001722848D01* +X0000675000Y0001723048D01* +X0000675000Y0001723148D01* +X0000675000Y0001723048D01* +X0000675200Y0001722748D01* +X0000675399Y0001722348D01* +X0000675699Y0001721848D01* +X0000676100Y0001721248D01* +X0000676499Y0001720448D01* +X0000677100Y0001719548D01* +X0000677599Y0001718548D01* +X0000678300Y0001717448D01* +X0000678899Y0001716348D01* +X0000679600Y0001715148D01* +X0000680299Y0001713848D01* +X0000681099Y0001712548D01* +X0000681899Y0001711248D01* +X0000682600Y0001709848D01* +X0000683400Y0001708448D01* +X0000684200Y0001707148D01* +X0000685000Y0001705748D01* +X0000685800Y0001704448D01* +X0000686499Y0001703148D01* +X0000687300Y0001701848D01* +X0000688000Y0001700648D01* +X0000688600Y0001699448D01* +X0000689200Y0001698448D01* +X0000689799Y0001697448D01* +X0000690300Y0001696548D01* +X0000690800Y0001695748D01* +X0000691100Y0001695048D01* +X0000691499Y0001694548D01* +X0000691699Y0001694048D01* +X0000691800Y0001693848D01* +X0000691900Y0001693748D01* +X0000691900Y0001693648D01* +X0000691800Y0001693248D01* +X0000691699Y0001692848D01* +X0000691600Y0001692148D01* +X0000691499Y0001691348D01* +X0000691399Y0001690448D01* +X0000691199Y0001689448D01* +X0000691000Y0001688248D01* +X0000690800Y0001687048D01* +X0000690500Y0001685648D01* +X0000690300Y0001684248D01* +X0000690000Y0001682748D01* +X0000689799Y0001681248D01* +X0000689499Y0001679648D01* +X0000689200Y0001678048D01* +X0000688900Y0001676448D01* +X0000688600Y0001674848D01* +X0000688399Y0001673148D01* +X0000688100Y0001671548D01* +X0000687800Y0001670048D01* +X0000687500Y0001668448D01* +X0000687300Y0001666948D01* +X0000687000Y0001665548D01* +X0000686799Y0001664248D01* +X0000686499Y0001662948D01* +X0000686299Y0001661848D01* +X0000686200Y0001660748D01* +X0000685999Y0001659848D01* +X0000685800Y0001659048D01* +X0000685699Y0001658448D01* +X0000685600Y0001657948D01* +X0000685600Y0001657648D01* +X0000685499Y0001657548D01* +X0000685499Y0001657348D01* +X0000685400Y0001657148D01* +X0000685300Y0001656748D01* +X0000685199Y0001656348D01* +X0000685000Y0001655848D01* +X0000684899Y0001655148D01* +X0000684699Y0001654448D01* +X0000684500Y0001653548D01* +X0000684300Y0001652548D01* +X0000684099Y0001651448D01* +X0000683799Y0001650148D01* +X0000683499Y0001648748D01* +X0000683200Y0001647148D01* +X0000682800Y0001645448D01* +X0000682400Y0001643548D01* +X0000682000Y0001641448D01* +X0000681599Y0001639248D01* +X0000681200Y0001637448D01* +X0000680900Y0001635848D01* +X0000680599Y0001634448D01* +X0000680299Y0001633148D01* +X0000680099Y0001632048D01* +X0000679900Y0001631048D01* +X0000679699Y0001630248D01* +X0000679600Y0001629548D01* +X0000679400Y0001628948D01* +X0000679300Y0001628448D01* +X0000679300Y0001628048D01* +X0000679199Y0001627748D01* +X0000679100Y0001627448D01* +X0000679100Y0001627348D01* +X0000679100Y0001627148D01* +X0000679000Y0001627148D01* +X0000679000Y0001627048D01* +X0000679000Y0001627048D01* +X0000678899Y0001627148D01* +X0000678800Y0001627348D01* +X0000678800Y0001627448D01* +X0000678699Y0001627748D01* +X0000678500Y0001628248D01* +X0000678200Y0001628748D01* +X0000677900Y0001629348D01* +X0000677499Y0001630048D01* +X0000677100Y0001630748D01* +X0000676800Y0001631248D01* +X0000676499Y0001631848D01* +X0000676199Y0001632448D01* +X0000675899Y0001633048D01* +X0000675699Y0001633448D01* +X0000675300Y0001634448D01* +X0000674900Y0001635548D01* +X0000674299Y0001636748D01* +X0000673699Y0001638048D01* +X0000673100Y0001639348D01* +X0000672500Y0001640548D01* +X0000671900Y0001641848D01* +X0000671499Y0001642548D01* +X0000670000Y0001645548D01* +X0000669200Y0001646348D01* +X0000668200Y0001647248D01* +X0000667199Y0001648048D01* +X0000666200Y0001648548D01* +X0000665299Y0001649048D01* +X0000664400Y0001649248D01* +X0000663599Y0001649348D01* +X0000662900Y0001649448D01* +X0000662200Y0001649348D01* +X0000661800Y0001649248D01* +X0000661400Y0001649048D01* +X0000661400Y0001648948D01* +X0000661300Y0001648748D01* +X0000661100Y0001648448D01* +X0000660899Y0001648048D01* +X0000660899Y0001647848D01* +X0000660699Y0001647548D01* +X0000660599Y0001647248D01* +X0000660500Y0001647148D01* +X0000660500Y0001647048D01* +X0000660500Y0001647148D01* +X0000660500Y0001640548D01* +X0000660599Y0001640048D01* +X0000660800Y0001639548D01* +X0000661000Y0001639148D01* +X0000661400Y0001638648D01* +X0000661899Y0001638248D01* +X0000662499Y0001637748D01* +X0000662900Y0001637448D01* +X0000663099Y0001637148D01* +X0000663200Y0001636948D01* +X0000663200Y0001636848D01* +X0000663099Y0001636748D01* +X0000663000Y0001636548D01* +X0000663000Y0001636248D01* +X0000663000Y0001635848D01* +X0000663200Y0001635248D01* +X0000663399Y0001634548D01* +X0000663500Y0001634448D01* +X0000664000Y0001633148D01* +X0000664499Y0001633048D01* +X0000665100Y0001632848D01* +X0000665599Y0001632848D01* +X0000666099Y0001632848D01* +X0000666500Y0001632948D01* +X0000667000Y0001633248D01* +X0000667100Y0001633248D01* +X0000667600Y0001633648D01* +X0000668200Y0001633748D01* +X0000668700Y0001633748D01* +X0000668900Y0001633748D01* +X0000669200Y0001633548D01* +X0000669399Y0001633348D01* +X0000669399Y0001633048D01* +X0000669399Y0001632748D01* +X0000669399Y0001632448D01* +X0000669399Y0001632248D01* +X0000669500Y0001631948D01* +X0000669599Y0001631548D01* +X0000669699Y0001631448D01* +X0000669899Y0001630948D01* +X0000670100Y0001630448D01* +X0000670300Y0001630048D01* +X0000670400Y0001630048D01* +X0000670600Y0001629648D01* +X0000670800Y0001629248D01* +X0000670999Y0001628748D01* +X0000671100Y0001628748D01* +X0000671299Y0001628348D01* +X0000671599Y0001627848D01* +X0000671900Y0001627348D01* +X0000672000Y0001627248D01* +X0000672500Y0001626648D01* +X0000672899Y0001625948D01* +X0000673300Y0001625248D01* +X0000673699Y0001624548D01* +X0000673900Y0001624048D01* +X0000673900Y0001624048D01* +X0000674100Y0001623648D01* +X0000674100Y0001623448D01* +X0000674100Y0001623348D01* +X0000673999Y0001623248D01* +X0000673999Y0001623148D01* +X0000673800Y0001622948D01* +X0000673699Y0001622648D01* +X0000673699Y0001622648D01* +X0000673499Y0001622448D01* +X0000673300Y0001622048D01* +X0000673000Y0001621748D01* +X0000672899Y0001621548D01* +X0000672599Y0001621248D01* +X0000672399Y0001620948D01* +X0000672300Y0001620648D01* +X0000672300Y0001620348D01* +X0000672399Y0001619948D01* +X0000672399Y0001619648D01* +X0000672500Y0001619248D01* +X0000672699Y0001618948D01* +X0000672899Y0001618648D01* +X0000673000Y0001618548D01* +X0000673100Y0001618448D01* +X0000673199Y0001618348D01* +X0000673300Y0001618248D01* +X0000673400Y0001618248D01* +X0000673600Y0001618148D01* +X0000673800Y0001618148D01* +X0000674100Y0001618148D01* +X0000674599Y0001618148D01* +X0000675000Y0001618148D01* +X0000675800Y0001618248D01* +X0000676699Y0001618248D01* +X0000676800Y0001618248D01* +X0000677499Y0001618248D01* +X0000678099Y0001618248D01* +X0000678500Y0001618248D01* +X0000678699Y0001618248D01* +X0000678899Y0001618248D01* +X0000679000Y0001618248D01* +X0000679000Y0001618148D01* +X0000679000Y0001618048D01* +X0000678899Y0001617748D01* +X0000678899Y0001617348D01* +X0000678800Y0001616748D01* +X0000678599Y0001615948D01* +X0000678500Y0001615148D01* +X0000678300Y0001614148D01* +X0000678200Y0001613148D01* +X0000678000Y0001612048D01* +X0000677799Y0001610948D01* +X0000677599Y0001609748D01* +X0000677400Y0001608648D01* +X0000677200Y0001607648D01* +X0000677100Y0001606748D01* +X0000676999Y0001605848D01* +X0000676800Y0001605148D01* +X0000676699Y0001604548D01* +X0000676699Y0001604148D01* +X0000676600Y0001603848D01* +X0000676600Y0001603748D01* +X0000676699Y0001603748D01* +X0000676999Y0001603648D01* +X0000677400Y0001603548D01* +X0000677900Y0001603448D01* +X0000678300Y0001603348D01* +X0000678899Y0001603148D01* +X0000679699Y0001602948D01* +X0000680499Y0001602748D01* +X0000681099Y0001602548D01* +X0000681200Y0001602448D01* +X0000681699Y0001602348D01* +X0000682199Y0001602248D01* +X0000682499Y0001602148D01* +X0000682699Y0001602148D01* +X0000682699Y0001602148D01* +X0000682800Y0001602248D01* +X0000682900Y0001602548D01* +X0000682999Y0001602948D01* +X0000683299Y0001603548D01* +X0000683499Y0001604348D01* +X0000683799Y0001605248D01* +X0000684200Y0001606348D01* +X0000684599Y0001607548D01* +X0000685100Y0001608848D01* +X0000685600Y0001610248D01* +X0000686099Y0001611848D01* +X0000686700Y0001613448D01* +X0000687300Y0001615248D01* +X0000687899Y0001617048D01* +X0000688600Y0001618948D01* +X0000689299Y0001620948D01* +X0000690000Y0001622948D01* +X0000690700Y0001625048D01* +X0000691399Y0001627248D01* +X0000692200Y0001629448D01* +X0000692900Y0001631648D01* +X0000693700Y0001633948D01* +X0000694499Y0001636148D01* +X0000695299Y0001638448D01* +X0000696000Y0001640748D01* +X0000696800Y0001643048D01* +X0000697600Y0001645248D01* +X0000698400Y0001647548D01* +X0000699099Y0001649748D01* +X0000699899Y0001651948D01* +X0000700600Y0001654048D01* +X0000701299Y0001656148D01* +X0000702000Y0001658148D01* +X0000702700Y0001660148D01* +X0000702899Y0001660948D01* +X0000703399Y0001662148D01* +X0000703800Y0001663348D01* +X0000704100Y0001664448D01* +X0000704499Y0001665548D01* +X0000704799Y0001666448D01* +X0000705099Y0001667248D01* +X0000705399Y0001668048D01* +X0000705599Y0001668648D01* +X0000705700Y0001669048D01* +X0000705800Y0001669348D01* +X0000705899Y0001669448D01* +X0000705899Y0001669448D01* +X0000705899Y0001669348D01* +X0000706100Y0001669148D01* +X0000706300Y0001668748D01* +X0000706600Y0001668248D01* +X0000706999Y0001667648D01* +X0000707400Y0001666948D01* +X0000707900Y0001666148D01* +X0000708399Y0001665248D01* +X0000708899Y0001664348D01* +X0000709499Y0001663348D01* +X0000710100Y0001662348D01* +X0000710599Y0001661348D01* +X0000711200Y0001660348D01* +X0000711800Y0001659348D01* +X0000712399Y0001658448D01* +X0000712900Y0001657448D01* +X0000713400Y0001656648D01* +X0000713799Y0001655848D01* +X0000714200Y0001655148D01* +X0000714599Y0001654548D01* +X0000714899Y0001654048D01* +X0000715000Y0001653648D01* +X0000715199Y0001653448D01* +X0000715199Y0001653348D01* +X0000715100Y0001653248D01* +X0000715000Y0001652948D01* +X0000714800Y0001652548D01* +X0000714500Y0001652048D01* +X0000714200Y0001651348D01* +X0000713799Y0001650548D01* +X0000713299Y0001649548D01* +X0000712800Y0001648548D01* +X0000712199Y0001647448D01* +X0000711599Y0001646248D01* +X0000711000Y0001645048D01* +X0000710299Y0001643748D01* +X0000709999Y0001643148D01* +X0000709399Y0001641948D01* +X0000708700Y0001640648D01* +X0000708000Y0001639148D01* +X0000707100Y0001637548D01* +X0000706300Y0001635748D01* +X0000705300Y0001633948D01* +X0000704400Y0001632048D01* +X0000703399Y0001630148D01* +X0000702300Y0001628148D01* +X0000701299Y0001626148D01* +X0000700300Y0001624048D01* +X0000699300Y0001622048D01* +X0000698199Y0001620048D01* +X0000697199Y0001618148D01* +X0000696399Y0001616448D01* +X0000687899Y0001599848D01* +X0000688600Y0001599448D01* +X0000690500Y0001598348D01* +X0000692200Y0001597348D01* +X0000693899Y0001596248D01* +X0000695499Y0001595048D01* +X0000695900Y0001594748D01* +X0000696000Y0001594748D01* +X0000696300Y0001594948D01* +X0000696599Y0001595248D01* +X0000697100Y0001595548D01* +X0000697800Y0001596048D01* +X0000698499Y0001596648D01* +X0000698599Y0001596648D01* +X0000700199Y0001597948D01* +X0000702000Y0001599248D01* +X0000703900Y0001600748D01* +X0000705899Y0001602248D01* +X0000708099Y0001603848D01* +X0000710299Y0001605448D01* +X0000712100Y0001606748D01* +X0000712100Y0001624948D01* +X0000712199Y0001625548D01* +X0000712499Y0001626148D01* +X0000712999Y0001626848D01* +X0000713400Y0001627348D01* +X0000713799Y0001627848D01* +X0000714099Y0001628248D01* +X0000714300Y0001628748D01* +X0000714399Y0001629148D01* +X0000714399Y0001629248D01* +X0000714599Y0001629648D01* +X0000714800Y0001630048D01* +X0000715100Y0001630348D01* +X0000715699Y0001631048D01* +X0000715999Y0001631848D01* +X0000716200Y0001632448D01* +X0000716299Y0001632748D01* +X0000716400Y0001632948D01* +X0000716700Y0001633048D01* +X0000717200Y0001633148D01* +X0000717300Y0001633148D01* +X0000718100Y0001633248D01* +X0000718900Y0001633148D01* +X0000719700Y0001632848D01* +X0000720599Y0001632448D01* +X0000721300Y0001631848D01* +X0000721600Y0001631648D01* +X0000721900Y0001631248D01* +X0000721900Y0001630848D01* +X0000721900Y0001630348D01* +X0000721900Y0001630248D01* +X0000721699Y0001629748D01* +X0000721399Y0001629148D01* +X0000721100Y0001628548D01* +X0000720700Y0001627748D01* +X0000720300Y0001627048D01* +X0000719799Y0001626248D01* +X0000719400Y0001625748D01* +X0000719200Y0001625248D01* +X0000718999Y0001624948D01* +X0000718900Y0001624548D01* +X0000718800Y0001624248D01* +X0000718800Y0001624248D01* +X0000718600Y0001623648D01* +X0000718399Y0001623148D01* +X0000718199Y0001622848D01* +X0000718000Y0001622548D01* +X0000717599Y0001622348D01* +X0000717099Y0001622148D01* +X0000716700Y0001622048D01* +X0000716299Y0001621848D01* +X0000715999Y0001621848D01* +X0000715800Y0001621748D01* +X0000715699Y0001621748D01* +X0000715600Y0001621848D01* +X0000715499Y0001621848D01* +X0000715300Y0001622048D01* +X0000715000Y0001622248D01* +X0000715000Y0001622248D01* +X0000714700Y0001622448D01* +X0000714300Y0001622648D01* +X0000714099Y0001622748D01* +X0000713499Y0001623148D01* +X0000712900Y0001623448D01* +X0000712499Y0001623848D01* +X0000712300Y0001624048D01* +X0000712100Y0001624448D01* +X0000712100Y0001624948D01* +X0000712100Y0001606748D01* +X0000712600Y0001607148D01* +X0000715000Y0001608848D01* +X0000717500Y0001610648D01* +X0000719900Y0001612448D01* +X0000722400Y0001614148D01* +X0000724900Y0001615948D01* +X0000727300Y0001617648D01* +X0000729800Y0001619348D01* +X0000732200Y0001620948D01* +X0000732200Y0001620948D01* +X0000733399Y0001621848D01* +X0000733800Y0001621248D01* +X0000733900Y0001621148D01* +X0000733999Y0001620848D01* +X0000734299Y0001620448D01* +X0000734700Y0001619848D01* +X0000735099Y0001619048D01* +X0000735599Y0001618148D01* +X0000736199Y0001617048D01* +X0000736900Y0001615848D01* +X0000737700Y0001614548D01* +X0000738500Y0001613048D01* +X0000739499Y0001611448D01* +X0000740499Y0001609748D01* +X0000741500Y0001607948D01* +X0000742600Y0001605948D01* +X0000743799Y0001603948D01* +X0000745100Y0001601748D01* +X0000746400Y0001599448D01* +X0000747800Y0001597148D01* +X0000749200Y0001594648D01* +X0000750700Y0001592048D01* +X0000752200Y0001589448D01* +X0000753800Y0001586748D01* +X0000755400Y0001583948D01* +X0000757000Y0001581048D01* +X0000758700Y0001578148D01* +X0000760499Y0001575048D01* +X0000762200Y0001572048D01* +X0000764100Y0001568948D01* +X0000765899Y0001565748D01* +X0000767700Y0001562448D01* +X0000769600Y0001559348D01* +X0000771500Y0001556048D01* +X0000773499Y0001552648D01* +X0000775399Y0001549248D01* +X0000777399Y0001545848D01* +X0000779400Y0001542448D01* +X0000781399Y0001539048D01* +X0000783399Y0001535548D01* +X0000785400Y0001532048D01* +X0000787399Y0001528648D01* +X0000789399Y0001525148D01* +X0000791400Y0001521648D01* +X0000793399Y0001518148D01* +X0000795399Y0001514748D01* +X0000797400Y0001511248D01* +X0000799399Y0001507848D01* +X0000801299Y0001504448D01* +X0000803299Y0001501048D01* +X0000805199Y0001497748D01* +X0000807099Y0001494448D01* +X0000808999Y0001491148D01* +X0000810899Y0001487948D01* +X0000812700Y0001484748D01* +X0000814499Y0001481648D01* +X0000816299Y0001478548D01* +X0000817999Y0001475548D01* +X0000819700Y0001472548D01* +X0000821400Y0001469748D01* +X0000823000Y0001466948D01* +X0000824600Y0001464148D01* +X0000826100Y0001461548D01* +X0000827600Y0001458948D01* +X0000829000Y0001456548D01* +X0000830400Y0001454148D01* +X0000831700Y0001451848D01* +X0000832900Y0001449748D01* +X0000834099Y0001447648D01* +X0000835199Y0001445648D01* +X0000836299Y0001443848D01* +X0000837299Y0001442148D01* +X0000838199Y0001440548D01* +X0000839100Y0001439048D01* +X0000839799Y0001437748D01* +X0000840500Y0001436548D01* +X0000841100Y0001435448D01* +X0000841699Y0001434548D01* +X0000842100Y0001433748D01* +X0000842499Y0001433148D01* +X0000842700Y0001432748D01* +X0000842900Y0001432448D01* +X0000843000Y0001432248D01* +X0000843000Y0001432248D01* +X0000843000Y0001432248D01* +X0000842900Y0001432248D01* +X0000842799Y0001432248D01* +X0000842700Y0001432248D01* +X0000842499Y0001432248D01* +X0000842299Y0001432248D01* +X0000841999Y0001432248D01* +X0000841699Y0001432248D01* +X0000841300Y0001432248D01* +X0000840899Y0001432248D01* +X0000840399Y0001432248D01* +X0000839799Y0001432248D01* +X0000839199Y0001432248D01* +X0000838500Y0001432248D01* +X0000837800Y0001432248D01* +X0000836900Y0001432148D01* +X0000835999Y0001432148D01* +X0000835000Y0001432148D01* +X0000833900Y0001432148D01* +X0000832800Y0001432148D01* +X0000831500Y0001432148D01* +X0000830199Y0001432148D01* +X0000828700Y0001432148D01* +X0000827199Y0001432148D01* +X0000825599Y0001432148D01* +X0000823900Y0001432148D01* +X0000822000Y0001432148D01* +X0000820100Y0001432148D01* +X0000817999Y0001432148D01* +X0000815900Y0001432148D01* +X0000813599Y0001432148D01* +X0000811199Y0001432148D01* +X0000808699Y0001432148D01* +X0000806100Y0001432148D01* +X0000803299Y0001432148D01* +X0000800400Y0001432148D01* +X0000797400Y0001432148D01* +X0000794200Y0001432148D01* +X0000790900Y0001432148D01* +X0000787499Y0001432148D01* +X0000783899Y0001432148D01* +X0000780200Y0001432148D01* +X0000776299Y0001432148D01* +X0000772300Y0001432148D01* +X0000768099Y0001432148D01* +X0000763800Y0001432148D01* +X0000759299Y0001432148D01* +X0000754699Y0001432148D01* +X0000749900Y0001432148D01* +X0000744899Y0001432148D01* +X0000739699Y0001432148D01* +X0000734399Y0001432148D01* +X0000728900Y0001432148D01* +X0000723300Y0001432148D01* +X0000717399Y0001432148D01* +X0000711399Y0001432148D01* +X0000705099Y0001432148D01* +X0000698700Y0001432148D01* +X0000692099Y0001432148D01* +X0000685300Y0001432148D01* +X0000685199Y0001432148D01* +X0000678300Y0001432148D01* +X0000671799Y0001432148D01* +X0000665299Y0001432148D01* +X0000659100Y0001432148D01* +X0000652999Y0001432148D01* +X0000647100Y0001432148D01* +X0000641299Y0001432148D01* +X0000635799Y0001432148D01* +X0000630399Y0001432148D01* +X0000625199Y0001432148D01* +X0000620200Y0001432148D01* +X0000615399Y0001432148D01* +X0000610699Y0001432148D01* +X0000606099Y0001432148D01* +X0000601799Y0001432148D01* +X0000597599Y0001432148D01* +X0000593500Y0001432148D01* +X0000589600Y0001432148D01* +X0000585899Y0001432148D01* +X0000582200Y0001432148D01* +X0000578799Y0001432148D01* +X0000575500Y0001432148D01* +X0000572299Y0001432148D01* +X0000569200Y0001432148D01* +X0000566299Y0001432148D01* +X0000563500Y0001432148D01* +X0000560899Y0001432148D01* +X0000558300Y0001432148D01* +X0000555899Y0001432148D01* +X0000553600Y0001432148D01* +X0000551500Y0001432148D01* +X0000549399Y0001432148D01* +X0000547499Y0001432148D01* +X0000545599Y0001432148D01* +X0000543899Y0001432148D01* +X0000542299Y0001432148D01* +X0000540800Y0001432148D01* +X0000539400Y0001432148D01* +X0000537999Y0001432148D01* +X0000536799Y0001432148D01* +X0000535699Y0001432148D01* +X0000534600Y0001432148D01* +X0000533599Y0001432148D01* +X0000532799Y0001432248D01* +X0000531899Y0001432248D01* +X0000531200Y0001432248D01* +X0000530599Y0001432248D01* +X0000529999Y0001432248D01* +X0000529499Y0001432248D01* +X0000528999Y0001432248D01* +X0000528699Y0001432248D01* +X0000528300Y0001432248D01* +X0000528099Y0001432248D01* +X0000527899Y0001432248D01* +X0000527700Y0001432248D01* +X0000527599Y0001432248D01* +X0000527599Y0001432248D01* +X0000527599Y0001432248D01* +X0000527700Y0001432348D01* +X0000527899Y0001432548D01* +X0000528300Y0001432848D01* +X0000528800Y0001433248D01* +X0000529400Y0001433748D01* +X0000529999Y0001434248D01* +X0000530700Y0001434848D01* +X0000531500Y0001435448D01* +X0000532400Y0001436148D01* +X0000534300Y0001437748D01* +X0000536500Y0001439448D01* +X0000538699Y0001441248D01* +X0000541100Y0001443248D01* +X0000543600Y0001445248D01* +X0000546300Y0001447348D01* +X0000549000Y0001449648D01* +X0000551799Y0001451948D01* +X0000554700Y0001454348D01* +X0000557700Y0001456748D01* +X0000560799Y0001459248D01* +X0000564000Y0001461848D01* +X0000567200Y0001464548D01* +X0000570500Y0001467248D01* +X0000573800Y0001469948D01* +X0000577199Y0001472748D01* +X0000580600Y0001475448D01* +X0000583999Y0001478348D01* +X0000587400Y0001481148D01* +X0000590899Y0001483948D01* +X0000594399Y0001486848D01* +X0000597800Y0001489648D01* +X0000601199Y0001492548D01* +X0000604699Y0001495348D01* +X0000608100Y0001498148D01* +X0000611400Y0001500948D01* +X0000614799Y0001503748D01* +X0000618000Y0001506448D01* +X0000621300Y0001509148D01* +X0000622900Y0001510448D01* +X0000636300Y0001521648D01* +X0000635100Y0001522748D01* +X0000634699Y0001523148D01* +X0000634400Y0001523448D01* +X0000634100Y0001523748D01* +X0000633899Y0001523848D01* +X0000633800Y0001523948D01* +X0000633800Y0001523848D01* +X0000633500Y0001523748D01* +X0000633099Y0001523448D01* +X0000632700Y0001523148D01* +X0000632100Y0001522748D01* +X0000631400Y0001522348D01* +X0000630699Y0001521848D01* +X0000630399Y0001521548D01* +X0000629400Y0001520948D01* +X0000628300Y0001520148D01* +X0000627000Y0001519348D01* +X0000625699Y0001518448D01* +X0000624200Y0001517448D01* +X0000622699Y0001516448D01* +X0000621099Y0001515348D01* +X0000619499Y0001514248D01* +X0000617899Y0001513148D01* +X0000616199Y0001512148D01* +X0000614599Y0001511048D01* +X0000613000Y0001509948D01* +X0000611400Y0001508948D01* +X0000610600Y0001508348D01* +X0000609899Y0001507948D01* +X0000609200Y0001507448D01* +X0000608299Y0001506948D01* +X0000607400Y0001506348D01* +X0000606399Y0001505648D01* +X0000605400Y0001504948D01* +X0000604300Y0001504248D01* +X0000603200Y0001503548D01* +X0000602100Y0001502848D01* +X0000601000Y0001502148D01* +X0000599899Y0001501448D01* +X0000598900Y0001500748D01* +X0000597899Y0001500148D01* +X0000596899Y0001499548D01* +X0000596100Y0001498948D01* +X0000595300Y0001498448D01* +X0000594699Y0001498048D01* +X0000594200Y0001497648D01* +X0000593799Y0001497448D01* +X0000593500Y0001497248D01* +X0000593200Y0001497148D01* +X0000593500Y0001497348D01* +X0000593599Y0001497548D01* +X0000593700Y0001497648D01* +X0000593700Y0001497748D01* +X0000593599Y0001497848D01* +X0000593400Y0001498048D01* +X0000592999Y0001498348D01* +X0000592699Y0001498748D01* +X0000592199Y0001499148D01* +X0000591800Y0001499548D01* +X0000591300Y0001499948D01* +X0000590899Y0001500348D01* +X0000590500Y0001500748D01* +X0000590200Y0001500948D01* +X0000590200Y0001500948D01* +X0000589799Y0001501248D01* +X0000589499Y0001501548D01* +X0000589300Y0001501748D01* +X0000589199Y0001501848D01* +X0000589100Y0001502048D01* +X0000588899Y0001502348D01* +X0000588599Y0001502548D01* +X0000588300Y0001502748D01* +X0000588200Y0001502748D01* +X0000588000Y0001502748D01* +X0000587700Y0001502548D01* +X0000587700Y0001502448D01* +X0000587500Y0001502348D01* +X0000587200Y0001502248D01* +X0000586900Y0001502148D01* +X0000586400Y0001501948D01* +X0000585800Y0001501748D01* +X0000585500Y0001501648D01* +X0000584899Y0001501548D01* +X0000584200Y0001501348D01* +X0000583600Y0001501148D01* +X0000583100Y0001501048D01* +X0000582800Y0001500948D01* +X0000581799Y0001500648D01* +X0000580900Y0001500248D01* +X0000580400Y0001500048D01* +X0000579699Y0001499748D01* +X0000579200Y0001499448D01* +X0000579000Y0001499448D01* +X0000578500Y0001499248D01* +X0000577999Y0001498948D01* +X0000577400Y0001498748D01* +X0000576699Y0001498448D01* +X0000576200Y0001498148D01* +X0000575599Y0001497848D01* +X0000575100Y0001497548D01* +X0000574699Y0001497348D01* +X0000574499Y0001497248D01* +X0000574199Y0001497048D01* +X0000574000Y0001496848D01* +X0000573699Y0001496548D01* +X0000573699Y0001496448D01* +X0000573300Y0001496148D01* +X0000573099Y0001495848D01* +X0000572899Y0001495648D01* +X0000572799Y0001495348D01* +X0000572700Y0001495048D01* +X0000572700Y0001494648D01* +X0000572599Y0001494148D01* +X0000572599Y0001493648D01* +X0000572599Y0001493248D01* +X0000572599Y0001492848D01* +X0000572599Y0001492748D01* +X0000572799Y0001492548D01* +X0000573000Y0001492448D01* +X0000573500Y0001492348D01* +X0000574199Y0001492048D01* +X0000574900Y0001491748D01* +X0000575299Y0001491648D01* +X0000575700Y0001491448D01* +X0000576099Y0001491348D01* +X0000576500Y0001491248D01* +X0000576500Y0001491248D01* +X0000576800Y0001491248D01* +X0000577199Y0001491148D01* +X0000577600Y0001491148D01* +X0000577900Y0001491048D01* +X0000578500Y0001490948D01* +X0000579099Y0001490948D01* +X0000579599Y0001490948D01* +X0000580000Y0001491048D01* +X0000580499Y0001491148D01* +X0000580600Y0001491148D01* +X0000580999Y0001491248D01* +X0000581599Y0001491448D01* +X0000582200Y0001491548D01* +X0000582699Y0001491748D01* +X0000583600Y0001491948D01* +X0000584599Y0001492248D01* +X0000585699Y0001492648D01* +X0000586600Y0001493048D01* +X0000586799Y0001493148D01* +X0000587100Y0001493248D01* +X0000587299Y0001493348D01* +X0000587299Y0001493348D01* +X0000587299Y0001493348D01* +X0000587200Y0001493248D01* +X0000586999Y0001493048D01* +X0000586499Y0001492748D01* +X0000586000Y0001492448D01* +X0000585300Y0001491948D01* +X0000584400Y0001491448D01* +X0000583499Y0001490848D01* +X0000582399Y0001490148D01* +X0000581200Y0001489348D01* +X0000579899Y0001488548D01* +X0000578500Y0001487648D01* +X0000577000Y0001486648D01* +X0000575400Y0001485648D01* +X0000573800Y0001484548D01* +X0000571999Y0001483448D01* +X0000570200Y0001482348D01* +X0000568400Y0001481148D01* +X0000566400Y0001479948D01* +X0000564500Y0001478648D01* +X0000562499Y0001477348D01* +X0000560400Y0001476048D01* +X0000558399Y0001474748D01* +X0000556300Y0001473448D01* +X0000554200Y0001472148D01* +X0000552099Y0001470748D01* +X0000549999Y0001469448D01* +X0000547900Y0001468048D01* +X0000545799Y0001466748D01* +X0000543699Y0001465448D01* +X0000541699Y0001464148D01* +X0000539700Y0001462848D01* +X0000537699Y0001461648D01* +X0000535799Y0001460348D01* +X0000533899Y0001459148D01* +X0000532100Y0001458048D01* +X0000530299Y0001456948D01* +X0000528600Y0001455848D01* +X0000526999Y0001454848D01* +X0000525500Y0001453848D01* +X0000523999Y0001452948D01* +X0000522699Y0001452048D01* +X0000521500Y0001451348D01* +X0000520300Y0001450548D01* +X0000519300Y0001449948D01* +X0000518500Y0001449448D01* +X0000517699Y0001448948D01* +X0000517100Y0001448548D01* +X0000516599Y0001448248D01* +X0000516300Y0001448048D01* +X0000516099Y0001447948D01* +X0000516099Y0001447948D01* +X0000516099Y0001411148D01* +X0000517100Y0001411148D01* +X0000519300Y0001411148D01* +X0000521700Y0001411148D01* +X0000524200Y0001411148D01* +X0000526799Y0001411148D01* +X0000529499Y0001411148D01* +X0000532300Y0001411148D01* +X0000535300Y0001411148D01* +X0000538400Y0001411148D01* +X0000541600Y0001411148D01* +X0000544999Y0001411148D01* +X0000548400Y0001411148D01* +X0000552099Y0001411148D01* +X0000555800Y0001411148D01* +X0000559699Y0001411148D01* +X0000563700Y0001411148D01* +X0000567899Y0001411148D01* +X0000572299Y0001411148D01* +X0000576800Y0001411148D01* +X0000581400Y0001411148D01* +X0000586199Y0001411148D01* +X0000591200Y0001411148D01* +X0000596299Y0001411148D01* +X0000601600Y0001411148D01* +X0000607000Y0001411148D01* +X0000612599Y0001411148D01* +X0000618399Y0001411148D01* +X0000624399Y0001411148D01* +X0000630500Y0001411148D01* +X0000636899Y0001411048D01* +X0000643400Y0001411048D01* +X0000650100Y0001411048D01* +X0000656900Y0001411048D01* +X0000664000Y0001411048D01* +X0000671299Y0001411048D01* +X0000674499Y0001411048D01* +X0000680400Y0001411048D01* +X0000686499Y0001411048D01* +X0000692499Y0001411048D01* +X0000698400Y0001411048D01* +X0000704299Y0001411048D01* +X0000710100Y0001411048D01* +X0000715800Y0001411048D01* +X0000721499Y0001411048D01* +X0000727100Y0001411048D01* +X0000732700Y0001411048D01* +X0000738200Y0001411048D01* +X0000743599Y0001411048D01* +X0000748900Y0001411048D01* +X0000754199Y0001411048D01* +X0000759299Y0001411048D01* +X0000764400Y0001411048D01* +X0000769300Y0001411048D01* +X0000774200Y0001411148D01* +X0000778999Y0001411148D01* +X0000783700Y0001411148D01* +X0000788299Y0001411148D01* +X0000792700Y0001411148D01* +X0000797100Y0001411148D01* +X0000801399Y0001411148D01* +X0000805499Y0001411148D01* +X0000809499Y0001411148D01* +X0000813399Y0001411148D01* +X0000817199Y0001411148D01* +X0000820800Y0001411148D01* +X0000824299Y0001411148D01* +X0000827700Y0001411148D01* +X0000830900Y0001411148D01* +X0000834000Y0001411148D01* +X0000837000Y0001411148D01* +X0000839799Y0001411148D01* +X0000842400Y0001411148D01* +X0000844900Y0001411148D01* +X0000847300Y0001411148D01* +X0000849500Y0001411148D01* +X0000851499Y0001411148D01* +X0000853399Y0001411148D01* +X0000855000Y0001411148D01* +X0000856600Y0001411148D01* +X0000857900Y0001411148D01* +X0000859100Y0001411148D01* +X0000860100Y0001411148D01* +X0000860900Y0001411148D01* +X0000861500Y0001411148D01* +X0000862000Y0001411148D01* +X0000862199Y0001411148D01* +X0000862300Y0001411148D01* +X0000863700Y0001411348D01* +X0000865000Y0001411748D01* +X0000866299Y0001412348D01* +X0000867500Y0001413148D01* +X0000868600Y0001414048D01* +X0000869499Y0001415048D01* +X0000870299Y0001416248D01* +X0000870800Y0001417048D01* +X0000871199Y0001417948D01* +X0000871500Y0001418948D01* +X0000871699Y0001419848D01* +X0000871800Y0001420948D01* +X0000871800Y0001421548D01* +X0000871800Y0001422648D01* +X0000871600Y0001423648D01* +X0000871399Y0001424548D01* +X0000871100Y0001425448D01* +X0000870700Y0001426248D01* +X0000870599Y0001426348D01* +X0000870500Y0001426648D01* +X0000870200Y0001427148D01* +X0000869900Y0001427748D01* +X0000869400Y0001428448D01* +X0000868900Y0001429348D01* +X0000868300Y0001430448D01* +X0000867599Y0001431648D01* +X0000866799Y0001433048D01* +X0000865900Y0001434548D01* +X0000865000Y0001436248D01* +X0000864000Y0001437948D01* +X0000862900Y0001439948D01* +X0000861700Y0001441948D01* +X0000860400Y0001444148D01* +X0000859100Y0001446448D01* +X0000857700Y0001448848D01* +X0000856300Y0001451348D01* +X0000854700Y0001453948D01* +X0000853199Y0001456748D01* +X0000851499Y0001459548D01* +X0000849800Y0001462548D01* +X0000847999Y0001465548D01* +X0000846200Y0001468748D01* +X0000844399Y0001471948D01* +X0000842400Y0001475348D01* +X0000840500Y0001478748D01* +X0000838399Y0001482248D01* +X0000836400Y0001485848D01* +X0000834200Y0001489548D01* +X0000832100Y0001493248D01* +X0000829900Y0001497048D01* +X0000827700Y0001500948D01* +X0000825399Y0001504848D01* +X0000823100Y0001508948D01* +X0000820699Y0001512948D01* +X0000818299Y0001517048D01* +X0000815900Y0001521248D01* +X0000813500Y0001525448D01* +X0000811000Y0001529748D01* +X0000808600Y0001534048D01* +X0000806100Y0001538348D01* +X0000803499Y0001542748D01* +X0000801000Y0001547148D01* +X0000798399Y0001551648D01* +X0000795800Y0001556048D01* +X0000793199Y0001560448D01* +X0000790600Y0001564948D01* +X0000787999Y0001569548D01* +X0000785400Y0001574048D01* +X0000782799Y0001578548D01* +X0000780200Y0001583148D01* +X0000777500Y0001587748D01* +X0000774899Y0001592248D01* +X0000772300Y0001596848D01* +X0000769600Y0001601348D01* +X0000766999Y0001605948D01* +X0000764400Y0001610448D01* +X0000761799Y0001614948D01* +X0000759200Y0001619448D01* +X0000756599Y0001623948D01* +X0000754100Y0001628348D01* +X0000751499Y0001632748D01* +X0000748999Y0001637148D01* +X0000746499Y0001641548D01* +X0000744000Y0001645848D01* +X0000741500Y0001650148D01* +X0000739100Y0001654348D01* +X0000736699Y0001658548D01* +X0000734299Y0001662648D01* +X0000731900Y0001666748D01* +X0000729599Y0001670748D01* +X0000727300Y0001674648D01* +X0000725100Y0001678548D01* +X0000722900Y0001682348D01* +X0000720700Y0001686148D01* +X0000718600Y0001689848D01* +X0000716499Y0001693448D01* +X0000714500Y0001696948D01* +X0000712499Y0001700348D01* +X0000710499Y0001703748D01* +X0000708700Y0001706948D01* +X0000706800Y0001710148D01* +X0000705099Y0001713248D01* +X0000703300Y0001716148D01* +X0000701700Y0001719048D01* +X0000700100Y0001721848D01* +X0000698599Y0001724448D01* +X0000697100Y0001727048D01* +X0000695700Y0001729448D01* +X0000694300Y0001731748D01* +X0000693099Y0001733948D01* +X0000691900Y0001736048D01* +X0000690800Y0001737948D01* +X0000689700Y0001739748D01* +X0000688800Y0001741448D01* +X0000687899Y0001742948D01* +X0000687099Y0001744348D01* +X0000686400Y0001745548D01* +X0000685699Y0001746648D01* +X0000685199Y0001747648D01* +X0000684699Y0001748448D01* +X0000684300Y0001749048D01* +X0000684099Y0001749548D01* +X0000683900Y0001749848D01* +X0000683799Y0001749948D01* +X0000683799Y0001749948D01* +X0000682900Y0001751148D01* +X0000681899Y0001752148D01* +X0000680799Y0001752948D01* +X0000679600Y0001753748D01* +X0000678200Y0001754248D01* +X0000676900Y0001754648D01* +X0000676499Y0001754748D01* +X0000675899Y0001754748D01* +X0000675300Y0001754748D01* +X0000674700Y0001754748D01* +X0000673999Y0001754748D01* +X0000673499Y0001754748D01* +X0000673100Y0001754648D01* +X0000671700Y0001754248D01* +X0000670300Y0001753648D01* +X0000669099Y0001752948D01* +X0000667999Y0001752048D01* +X0000667000Y0001751048D01* +X0000666200Y0001750048D01* +X0000666099Y0001749848D01* +X0000666000Y0001749548D01* +X0000665700Y0001749148D01* +X0000665400Y0001748548D01* +X0000664899Y0001747748D01* +X0000664400Y0001746848D01* +X0000663800Y0001745748D01* +X0000663099Y0001744548D01* +X0000662299Y0001743148D01* +X0000661400Y0001741648D01* +X0000660399Y0001740048D01* +X0000659400Y0001738248D01* +X0000658300Y0001736348D01* +X0000657099Y0001734248D01* +X0000655899Y0001732148D01* +X0000654500Y0001729848D01* +X0000653100Y0001727448D01* +X0000651699Y0001724848D01* +X0000650200Y0001722248D01* +X0000648599Y0001719448D01* +X0000646900Y0001716648D01* +X0000645200Y0001713648D01* +X0000643400Y0001710648D01* +X0000641599Y0001707448D01* +X0000639699Y0001704248D01* +X0000637799Y0001700848D01* +X0000635799Y0001697448D01* +X0000633800Y0001693948D01* +X0000631699Y0001690348D01* +X0000629599Y0001686648D01* +X0000627500Y0001682948D01* +X0000625300Y0001679148D01* +X0000622999Y0001675248D01* +X0000620700Y0001671348D01* +X0000618399Y0001667348D01* +X0000616100Y0001663248D01* +X0000613699Y0001659148D01* +X0000611299Y0001654948D01* +X0000608899Y0001650748D01* +X0000606399Y0001646448D01* +X0000603899Y0001642148D01* +X0000601400Y0001637848D01* +X0000598900Y0001633448D01* +X0000596299Y0001629048D01* +X0000593799Y0001624548D01* +X0000591200Y0001620148D01* +X0000588599Y0001615648D01* +X0000586000Y0001611148D01* +X0000583400Y0001606548D01* +X0000580799Y0001602048D01* +X0000578100Y0001597548D01* +X0000575500Y0001592948D01* +X0000572899Y0001588348D01* +X0000570200Y0001583848D01* +X0000567599Y0001579248D01* +X0000565000Y0001574748D01* +X0000562400Y0001570148D01* +X0000559699Y0001565648D01* +X0000557100Y0001561148D01* +X0000554500Y0001556748D01* +X0000552000Y0001552248D01* +X0000549399Y0001547848D01* +X0000546899Y0001543448D01* +X0000544300Y0001539048D01* +X0000541799Y0001534648D01* +X0000539299Y0001530348D01* +X0000536899Y0001526048D01* +X0000534399Y0001521848D01* +X0000531999Y0001517648D01* +X0000529600Y0001513548D01* +X0000527299Y0001509448D01* +X0000525000Y0001505448D01* +X0000522699Y0001501548D01* +X0000520400Y0001497648D01* +X0000518200Y0001493848D01* +X0000516099Y0001490048D01* +X0000513899Y0001486348D01* +X0000511900Y0001482748D01* +X0000509799Y0001479248D01* +X0000507899Y0001475848D01* +X0000505900Y0001472448D01* +X0000504000Y0001469248D01* +X0000502199Y0001466048D01* +X0000500400Y0001462948D01* +X0000498699Y0001460048D01* +X0000497099Y0001457148D01* +X0000495500Y0001454348D01* +X0000493999Y0001451748D01* +X0000492500Y0001449148D01* +X0000491099Y0001446748D01* +X0000489800Y0001444448D01* +X0000488500Y0001442248D01* +X0000487300Y0001440248D01* +X0000486200Y0001438248D01* +X0000485200Y0001436448D01* +X0000484199Y0001434848D01* +X0000483300Y0001433248D01* +X0000482500Y0001431848D01* +X0000481799Y0001430648D01* +X0000481199Y0001429548D01* +X0000480600Y0001428648D01* +X0000480200Y0001427848D01* +X0000479799Y0001427148D01* +X0000479500Y0001426748D01* +X0000479400Y0001426348D01* +X0000479299Y0001426248D01* +X0000479299Y0001426248D01* +X0000478799Y0001425248D01* +X0000478499Y0001424348D01* +X0000478300Y0001423448D01* +X0000478199Y0001422448D01* +X0000478199Y0001421548D01* +X0000478199Y0001421548D01* +X0000478199Y0001421548D01* +G37* +X0000478199Y0001421548D02* +X0000478199Y0001420448D01* +X0000478400Y0001419548D01* +X0000478600Y0001418648D01* +X0000478900Y0001417648D01* +X0000479299Y0001417048D01* +X0000479700Y0001416148D01* +X0000480200Y0001415348D01* +X0000480800Y0001414648D01* +X0000481199Y0001414248D01* +X0000482200Y0001413448D01* +X0000483200Y0001412648D01* +X0000484199Y0001412148D01* +X0000485400Y0001411648D01* +X0000486699Y0001411248D01* +X0000486699Y0001411248D01* +X0000486699Y0001411248D01* +X0000486800Y0001411248D01* +X0000486899Y0001411248D01* +X0000486999Y0001411248D01* +X0000487100Y0001411248D01* +X0000487300Y0001411248D01* +X0000487400Y0001411248D01* +X0000487700Y0001411248D01* +X0000487900Y0001411248D01* +X0000488200Y0001411248D01* +X0000488500Y0001411248D01* +X0000488899Y0001411248D01* +X0000489300Y0001411248D01* +X0000489699Y0001411148D01* +X0000490300Y0001411148D01* +X0000490799Y0001411148D01* +X0000491400Y0001411148D01* +X0000492099Y0001411148D01* +X0000492800Y0001411148D01* +X0000493600Y0001411148D01* +X0000494500Y0001411148D01* +X0000495399Y0001411148D01* +X0000496400Y0001411148D01* +X0000497500Y0001411148D01* +X0000498699Y0001411148D01* +X0000499900Y0001411148D01* +X0000501200Y0001411148D01* +X0000502600Y0001411148D01* +X0000504099Y0001411148D01* +X0000505600Y0001411148D01* +X0000507300Y0001411148D01* +X0000508999Y0001411148D01* +X0000510899Y0001411148D01* +X0000512799Y0001411148D01* +X0000514900Y0001411148D01* +X0000516099Y0001411148D01* +X0000516099Y0001447948D01* +X0000516099Y0001448048D01* +X0000516300Y0001448248D01* +X0000516499Y0001448648D01* +X0000516800Y0001449248D01* +X0000517199Y0001449948D01* +X0000517699Y0001450748D01* +X0000518200Y0001451648D01* +X0000518799Y0001452648D01* +X0000519399Y0001453848D01* +X0000520199Y0001455048D01* +X0000520900Y0001456348D01* +X0000521700Y0001457748D01* +X0000522499Y0001459148D01* +X0000523400Y0001460648D01* +X0000524299Y0001462248D01* +X0000524500Y0001462648D01* +X0000532999Y0001477248D01* +X0000547100Y0001484448D01* +X0000551799Y0001486848D01* +X0000556499Y0001489148D01* +X0000561099Y0001491448D01* +X0000565499Y0001493748D01* +X0000569899Y0001495948D01* +X0000574199Y0001498048D01* +X0000578400Y0001500148D01* +X0000582399Y0001502148D01* +X0000586400Y0001504148D01* +X0000590200Y0001506048D01* +X0000593899Y0001507948D01* +X0000597500Y0001509648D01* +X0000601000Y0001511348D01* +X0000604300Y0001513048D01* +X0000607499Y0001514548D01* +X0000610499Y0001516048D01* +X0000613400Y0001517448D01* +X0000616199Y0001518848D01* +X0000618699Y0001520048D01* +X0000621200Y0001521248D01* +X0000623400Y0001522348D01* +X0000625600Y0001523248D01* +X0000627500Y0001524148D01* +X0000627699Y0001524348D01* +X0000628499Y0001524648D01* +X0000629299Y0001525048D01* +X0000630000Y0001525348D01* +X0000630599Y0001525648D01* +X0000631100Y0001525848D01* +X0000631400Y0001526048D01* +X0000631599Y0001526148D01* +X0000631699Y0001526148D01* +X0000631699Y0001526248D01* +X0000631499Y0001526448D01* +X0000631300Y0001526748D01* +X0000631000Y0001527048D01* +X0000631000Y0001527148D01* +X0000630599Y0001527548D01* +X0000630200Y0001528048D01* +X0000629799Y0001528448D01* +X0000629599Y0001528648D01* +X0000629400Y0001529048D01* +X0000629100Y0001529248D01* +X0000628999Y0001529348D01* +X0000628999Y0001529348D01* +X0000628300Y0001529048D01* +X0000627699Y0001528848D01* +X0000627099Y0001528548D01* +X0000626499Y0001528348D01* +X0000625999Y0001528148D01* +X0000625499Y0001528048D01* +X0000625199Y0001527948D01* +X0000625100Y0001527948D01* +X0000625100Y0001527948D01* +X0000625000Y0001528048D01* +X0000624800Y0001528248D01* +X0000624699Y0001528648D01* +X0000624599Y0001528848D01* +X0000624399Y0001529248D01* +X0000624200Y0001529648D01* +X0000624000Y0001529948D01* +X0000623899Y0001530048D01* +X0000623799Y0001530248D01* +X0000623599Y0001530348D01* +X0000623400Y0001530348D01* +X0000622999Y0001530348D01* +X0000622600Y0001530348D01* +X0000622000Y0001530248D01* +X0000621399Y0001530148D01* +X0000620799Y0001529948D01* +X0000620200Y0001529848D01* +X0000619799Y0001529648D01* +X0000619600Y0001529648D01* +X0000619199Y0001529348D01* +X0000618899Y0001528948D01* +X0000618699Y0001528548D01* +X0000618599Y0001528148D01* +X0000618599Y0001528148D01* +X0000618599Y0001527848D01* +X0000618500Y0001527548D01* +X0000618500Y0001527348D01* +X0000618500Y0001527248D01* +X0000618500Y0001527248D01* +X0000618599Y0001527148D01* +X0000618899Y0001527148D01* +X0000619199Y0001527148D01* +X0000619499Y0001527148D01* +X0000619999Y0001527148D01* +X0000620299Y0001527148D01* +X0000620500Y0001527048D01* +X0000620700Y0001526948D01* +X0000620799Y0001526748D01* +X0000620899Y0001526648D01* +X0000621099Y0001526348D01* +X0000620299Y0001526048D01* +X0000619100Y0001525548D01* +X0000617700Y0001524948D01* +X0000616199Y0001524248D01* +X0000614500Y0001523548D01* +X0000612599Y0001522748D01* +X0000610499Y0001521748D01* +X0000608299Y0001520748D01* +X0000605899Y0001519748D01* +X0000603300Y0001518548D01* +X0000600599Y0001517248D01* +X0000597699Y0001515948D01* +X0000594599Y0001514548D01* +X0000591300Y0001513048D01* +X0000587899Y0001511448D01* +X0000584299Y0001509748D01* +X0000580499Y0001508048D01* +X0000576599Y0001506148D01* +X0000572500Y0001504248D01* +X0000568199Y0001502248D01* +X0000563799Y0001500148D01* +X0000559199Y0001498048D01* +X0000554400Y0001495748D01* +X0000551400Y0001494348D01* +X0000549999Y0001493648D01* +X0000548599Y0001493048D01* +X0000547300Y0001492448D01* +X0000546000Y0001491848D01* +X0000544900Y0001491248D01* +X0000543800Y0001490748D01* +X0000542799Y0001490348D01* +X0000541999Y0001489948D01* +X0000541199Y0001489548D01* +X0000540600Y0001489348D01* +X0000540200Y0001489048D01* +X0000539899Y0001488948D01* +X0000539799Y0001488948D01* +X0000539700Y0001488848D01* +X0000539799Y0001488948D01* +X0000539899Y0001489248D01* +X0000540099Y0001489648D01* +X0000540399Y0001490148D01* +X0000540800Y0001490848D01* +X0000541300Y0001491548D01* +X0000541799Y0001492448D01* +X0000542299Y0001493448D01* +X0000542899Y0001494448D01* +X0000543600Y0001495648D01* +X0000544300Y0001496748D01* +X0000544999Y0001498048D01* +X0000545700Y0001499248D01* +X0000546399Y0001500548D01* +X0000547199Y0001501848D01* +X0000547999Y0001503148D01* +X0000548700Y0001504448D01* +X0000549399Y0001505748D01* +X0000550199Y0001507048D01* +X0000550900Y0001508248D01* +X0000551599Y0001509348D01* +X0000552200Y0001510448D01* +X0000552800Y0001511448D01* +X0000553300Y0001512448D01* +X0000553799Y0001513248D01* +X0000554299Y0001513948D01* +X0000554599Y0001514548D01* +X0000554899Y0001515048D01* +X0000555099Y0001515448D01* +X0000555200Y0001515648D01* +X0000555200Y0001515648D01* +X0000555399Y0001515648D01* +X0000555699Y0001515748D01* +X0000556100Y0001515848D01* +X0000556699Y0001516048D01* +X0000557500Y0001516248D01* +X0000558399Y0001516548D01* +X0000559400Y0001516748D01* +X0000560500Y0001517048D01* +X0000561699Y0001517448D01* +X0000562999Y0001517748D01* +X0000564399Y0001518148D01* +X0000565799Y0001518448D01* +X0000566700Y0001518748D01* +X0000568699Y0001519248D01* +X0000570800Y0001519848D01* +X0000573000Y0001520448D01* +X0000575400Y0001521048D01* +X0000577799Y0001521648D01* +X0000580199Y0001522348D01* +X0000582699Y0001522948D01* +X0000585200Y0001523648D01* +X0000587700Y0001524348D01* +X0000590200Y0001524948D01* +X0000592699Y0001525648D01* +X0000595100Y0001526248D01* +X0000597500Y0001526948D01* +X0000599700Y0001527548D01* +X0000601900Y0001528148D01* +X0000603899Y0001528648D01* +X0000605799Y0001529148D01* +X0000607600Y0001529648D01* +X0000609000Y0001530048D01* +X0000609899Y0001530248D01* +X0000610900Y0001530548D01* +X0000611700Y0001530748D01* +X0000611700Y0001536748D01* +X0000611799Y0001536848D01* +X0000611799Y0001536748D01* +X0000611799Y0001536748D01* +X0000611700Y0001536748D01* +X0000611700Y0001530748D01* +X0000612000Y0001530848D01* +X0000613100Y0001531148D01* +X0000614200Y0001531448D01* +X0000615399Y0001531848D01* +X0000616600Y0001532148D01* +X0000617799Y0001532448D01* +X0000618899Y0001532748D01* +X0000619999Y0001533048D01* +X0000621099Y0001533348D01* +X0000622100Y0001533648D01* +X0000622999Y0001533848D01* +X0000623700Y0001534148D01* +X0000624399Y0001534248D01* +X0000624899Y0001534448D01* +X0000625300Y0001534548D01* +X0000625499Y0001534648D01* +X0000625600Y0001534648D01* +X0000625499Y0001534748D01* +X0000625499Y0001534948D01* +X0000625300Y0001535348D01* +X0000625100Y0001535748D01* +X0000624899Y0001536248D01* +X0000624599Y0001536748D01* +X0000624399Y0001537248D01* +X0000624200Y0001537748D01* +X0000624000Y0001538148D01* +X0000623799Y0001538448D01* +X0000623700Y0001538548D01* +X0000623700Y0001538548D01* +X0000623500Y0001538548D01* +X0000623299Y0001538548D01* +X0000622799Y0001538448D01* +X0000622199Y0001538348D01* +X0000621500Y0001538248D01* +X0000620700Y0001538148D01* +X0000619799Y0001538048D01* +X0000618800Y0001537848D01* +X0000617799Y0001537748D01* +X0000616799Y0001537548D01* +X0000615800Y0001537448D01* +X0000614899Y0001537248D01* +X0000614100Y0001537148D01* +X0000613400Y0001537048D01* +X0000612800Y0001536948D01* +X0000612399Y0001536948D01* +X0000612099Y0001536848D01* +X0000612000Y0001536848D01* +X0000612000Y0001536848D01* +X0000612099Y0001536948D01* +X0000612200Y0001536948D01* +X0000612800Y0001537248D01* +X0000613199Y0001537548D01* +X0000613400Y0001537748D01* +X0000613499Y0001537948D01* +X0000613600Y0001538248D01* +X0000613600Y0001538648D01* +X0000613600Y0001539248D01* +X0000613600Y0001539348D01* +X0000613699Y0001539848D01* +X0000613699Y0001540248D01* +X0000613600Y0001540548D01* +X0000613600Y0001540748D01* +X0000613600Y0001540748D01* +X0000613499Y0001540748D01* +X0000613199Y0001540748D01* +X0000612800Y0001540648D01* +X0000612500Y0001540648D01* +X0000611899Y0001540548D01* +X0000611200Y0001540548D01* +X0000610900Y0001540548D01* +X0000610600Y0001540548D01* +X0000610300Y0001540548D01* +X0000610000Y0001540448D01* +X0000609599Y0001540348D01* +X0000609399Y0001540248D01* +X0000609000Y0001540048D01* +X0000608400Y0001539848D01* +X0000607799Y0001539548D01* +X0000607300Y0001539348D01* +X0000607100Y0001539248D01* +X0000606500Y0001538948D01* +X0000606099Y0001538748D01* +X0000605799Y0001538548D01* +X0000605599Y0001538448D01* +X0000605400Y0001538248D01* +X0000605400Y0001538148D01* +X0000605100Y0001537748D01* +X0000604999Y0001537348D01* +X0000605100Y0001537148D01* +X0000605100Y0001537048D01* +X0000605400Y0001536848D01* +X0000605899Y0001536748D01* +X0000606500Y0001536548D01* +X0000607400Y0001536448D01* +X0000607799Y0001536448D01* +X0000609200Y0001536448D01* +X0000594800Y0001534148D01* +X0000592900Y0001533848D01* +X0000591000Y0001533448D01* +X0000589100Y0001533148D01* +X0000587100Y0001532848D01* +X0000585099Y0001532548D01* +X0000583100Y0001532248D01* +X0000581200Y0001531948D01* +X0000579399Y0001531648D01* +X0000577600Y0001531348D01* +X0000575899Y0001531048D01* +X0000574400Y0001530848D01* +X0000573000Y0001530648D01* +X0000571799Y0001530448D01* +X0000571600Y0001530348D01* +X0000570300Y0001530148D01* +X0000569100Y0001529948D01* +X0000568000Y0001529748D01* +X0000566899Y0001529648D01* +X0000565900Y0001529448D01* +X0000565000Y0001529348D01* +X0000564300Y0001529248D01* +X0000563700Y0001529148D01* +X0000563299Y0001529048D01* +X0000562999Y0001529048D01* +X0000562900Y0001528948D01* +X0000562900Y0001529048D01* +X0000563100Y0001529348D01* +X0000563299Y0001529748D01* +X0000563599Y0001530248D01* +X0000563899Y0001530848D01* +X0000564399Y0001531548D01* +X0000564800Y0001532448D01* +X0000565300Y0001533248D01* +X0000565900Y0001534248D01* +X0000566200Y0001534748D01* +X0000569500Y0001540548D01* +X0000570000Y0001540648D01* +X0000570200Y0001540648D01* +X0000570500Y0001540648D01* +X0000571000Y0001540748D01* +X0000571699Y0001540848D01* +X0000572500Y0001540948D01* +X0000573399Y0001541148D01* +X0000574400Y0001541248D01* +X0000575500Y0001541448D01* +X0000576699Y0001541648D01* +X0000577900Y0001541848D01* +X0000578700Y0001541948D01* +X0000581299Y0001542348D01* +X0000583900Y0001542648D01* +X0000586600Y0001543148D01* +X0000589300Y0001543548D01* +X0000591899Y0001543948D01* +X0000594599Y0001544348D01* +X0000597200Y0001544748D01* +X0000599700Y0001545148D01* +X0000602200Y0001545548D01* +X0000604499Y0001545948D01* +X0000606800Y0001546248D01* +X0000608799Y0001546648D01* +X0000610699Y0001546948D01* +X0000610999Y0001546948D01* +X0000612500Y0001547248D01* +X0000613900Y0001547448D01* +X0000615099Y0001547648D01* +X0000616199Y0001547848D01* +X0000617100Y0001548048D01* +X0000617899Y0001548148D01* +X0000618500Y0001548248D01* +X0000619000Y0001548348D01* +X0000619499Y0001548448D01* +X0000619799Y0001548448D01* +X0000619999Y0001548548D01* +X0000620200Y0001548548D01* +X0000620400Y0001548648D01* +X0000620400Y0001548648D01* +X0000620500Y0001548648D01* +X0000620500Y0001548648D01* +X0000620500Y0001548648D01* +X0000620500Y0001548748D01* +X0000620500Y0001549048D01* +X0000620500Y0001549448D01* +X0000620400Y0001549648D01* +X0000620400Y0001550148D01* +X0000620299Y0001550748D01* +X0000620200Y0001551348D01* +X0000620100Y0001551548D01* +X0000620100Y0001552048D01* +X0000619999Y0001552348D01* +X0000619999Y0001552648D01* +X0000619900Y0001552648D01* +X0000619799Y0001552648D01* +X0000619600Y0001552648D01* +X0000619100Y0001552648D01* +X0000618500Y0001552648D01* +X0000617700Y0001552548D01* +X0000616699Y0001552548D01* +X0000615699Y0001552448D01* +X0000614500Y0001552448D01* +X0000613199Y0001552348D01* +X0000611799Y0001552348D01* +X0000610300Y0001552248D01* +X0000608700Y0001552148D01* +X0000607100Y0001552048D01* +X0000605400Y0001551948D01* +X0000604199Y0001551948D01* +X0000601199Y0001551748D01* +X0000598400Y0001551648D01* +X0000595900Y0001551548D01* +X0000593500Y0001551348D01* +X0000591300Y0001551248D01* +X0000589199Y0001551148D01* +X0000587400Y0001551048D01* +X0000585599Y0001550948D01* +X0000584100Y0001550948D01* +X0000582699Y0001550848D01* +X0000581400Y0001550748D01* +X0000580300Y0001550748D01* +X0000579300Y0001550648D01* +X0000578400Y0001550648D01* +X0000577600Y0001550648D01* +X0000577000Y0001550548D01* +X0000576500Y0001550548D01* +X0000576099Y0001550548D01* +X0000575799Y0001550548D01* +X0000575599Y0001550548D01* +X0000575400Y0001550548D01* +X0000575400Y0001550548D01* +X0000575400Y0001550648D01* +X0000575599Y0001550848D01* +X0000575700Y0001551248D01* +X0000576000Y0001551648D01* +X0000576200Y0001552148D01* +X0000576500Y0001552648D01* +X0000576800Y0001553248D01* +X0000577100Y0001553648D01* +X0000577400Y0001554148D01* +X0000577600Y0001554448D01* +X0000577699Y0001554748D01* +X0000577799Y0001554848D01* +X0000577900Y0001554848D01* +X0000578200Y0001554848D01* +X0000578700Y0001554848D01* +X0000579300Y0001554948D01* +X0000580100Y0001555048D01* +X0000580999Y0001555048D01* +X0000582000Y0001555148D01* +X0000583199Y0001555248D01* +X0000584500Y0001555348D01* +X0000585899Y0001555548D01* +X0000587299Y0001555648D01* +X0000588800Y0001555748D01* +X0000590400Y0001555948D01* +X0000591800Y0001556048D01* +X0000593500Y0001556148D01* +X0000595100Y0001556248D01* +X0000596599Y0001556448D01* +X0000598000Y0001556548D01* +X0000599400Y0001556648D01* +X0000600599Y0001556748D01* +X0000601799Y0001556848D01* +X0000602799Y0001556948D01* +X0000603699Y0001557048D01* +X0000604400Y0001557148D01* +X0000604999Y0001557148D01* +X0000605500Y0001557148D01* +X0000605700Y0001557248D01* +X0000605799Y0001557248D01* +X0000605700Y0001557248D01* +X0000605400Y0001557248D01* +X0000604999Y0001557348D01* +X0000604400Y0001557448D01* +X0000603599Y0001557448D01* +X0000602700Y0001557548D01* +X0000601699Y0001557648D01* +X0000600500Y0001557848D01* +X0000599299Y0001557948D01* +X0000597899Y0001558048D01* +X0000596500Y0001558248D01* +X0000595000Y0001558348D01* +X0000593500Y0001558548D01* +X0000593299Y0001558548D01* +X0000591699Y0001558648D01* +X0000590200Y0001558848D01* +X0000588800Y0001558948D01* +X0000587500Y0001559148D01* +X0000586199Y0001559248D01* +X0000585000Y0001559348D01* +X0000583999Y0001559448D01* +X0000583100Y0001559448D01* +X0000582300Y0001559548D01* +X0000581599Y0001559648D01* +X0000581200Y0001559648D01* +X0000580799Y0001559748D01* +X0000580699Y0001559748D01* +X0000580699Y0001559748D01* +X0000580799Y0001559848D01* +X0000580900Y0001560048D01* +X0000581100Y0001560448D01* +X0000581299Y0001560848D01* +X0000581500Y0001561148D01* +X0000582300Y0001562548D01* +X0000601100Y0001562448D01* +X0000619999Y0001562448D01* +X0000619999Y0001562648D01* +X0000620100Y0001562848D01* +X0000620100Y0001563148D01* +X0000620200Y0001563648D01* +X0000620200Y0001564148D01* +X0000620299Y0001564448D01* +X0000620400Y0001564948D01* +X0000620400Y0001565448D01* +X0000620500Y0001565948D01* +X0000620500Y0001566248D01* +X0000620500Y0001566248D01* +X0000620599Y0001566648D01* +X0000616300Y0001567748D01* +X0000615300Y0001567948D01* +X0000614100Y0001568248D01* +X0000612699Y0001568648D01* +X0000611299Y0001568948D01* +X0000609699Y0001569348D01* +X0000608100Y0001569848D01* +X0000606399Y0001570248D01* +X0000604699Y0001570648D01* +X0000603000Y0001571148D01* +X0000601300Y0001571548D01* +X0000599700Y0001571948D01* +X0000598100Y0001572348D01* +X0000596700Y0001572748D01* +X0000595300Y0001573048D01* +X0000594099Y0001573348D01* +X0000592999Y0001573648D01* +X0000592900Y0001573648D01* +X0000592100Y0001573948D01* +X0000591399Y0001574148D01* +X0000590700Y0001574248D01* +X0000590200Y0001574448D01* +X0000589699Y0001574548D01* +X0000589499Y0001574648D01* +X0000589400Y0001574648D01* +X0000589400Y0001574648D01* +X0000589400Y0001574748D01* +X0000589499Y0001575048D01* +X0000589699Y0001575448D01* +X0000589999Y0001575848D01* +X0000590299Y0001576448D01* +X0000590599Y0001576948D01* +X0000591000Y0001577648D01* +X0000591300Y0001578248D01* +X0000591699Y0001578848D01* +X0000592000Y0001579348D01* +X0000592300Y0001579848D01* +X0000592499Y0001580248D01* +X0000592699Y0001580548D01* +X0000592799Y0001580648D01* +X0000592799Y0001580648D01* +X0000592900Y0001580648D01* +X0000593100Y0001580648D01* +X0000593400Y0001580548D01* +X0000593700Y0001580548D01* +X0000594099Y0001580448D01* +X0000594699Y0001580248D01* +X0000595499Y0001580048D01* +X0000596400Y0001579848D01* +X0000597399Y0001579648D01* +X0000598499Y0001579348D01* +X0000599700Y0001579048D01* +X0000601000Y0001578748D01* +X0000602299Y0001578348D01* +X0000603699Y0001578048D01* +X0000604999Y0001577748D01* +X0000606399Y0001577348D01* +X0000607799Y0001577048D01* +X0000609200Y0001576648D01* +X0000610499Y0001576348D01* +X0000611799Y0001576048D01* +X0000613000Y0001575748D01* +X0000614100Y0001575448D01* +X0000615200Y0001575148D01* +X0000616100Y0001574948D01* +X0000616900Y0001574748D01* +X0000617500Y0001574548D01* +X0000617599Y0001574548D01* +X0000618500Y0001574248D01* +X0000619400Y0001574048D01* +X0000620200Y0001573848D01* +X0000620899Y0001573648D01* +X0000621500Y0001573548D01* +X0000622000Y0001573448D01* +X0000622300Y0001573348D01* +X0000622400Y0001573348D01* +X0000622400Y0001573348D01* +X0000622499Y0001573548D01* +X0000622600Y0001573748D01* +X0000622699Y0001573948D01* +X0000622900Y0001574348D01* +X0000622900Y0001574548D01* +X0000623100Y0001575048D01* +X0000622600Y0001575448D01* +X0000622000Y0001575748D01* +X0000621300Y0001576248D01* +X0000620400Y0001576748D01* +X0000619400Y0001577348D01* +X0000618200Y0001578048D01* +X0000616999Y0001578748D01* +X0000615699Y0001579548D01* +X0000614299Y0001580348D01* +X0000612899Y0001581248D01* +X0000611400Y0001582148D01* +X0000609800Y0001583048D01* +X0000608299Y0001583948D01* +X0000606699Y0001584848D01* +X0000605200Y0001585748D01* +X0000603699Y0001586548D01* +X0000602799Y0001587048D01* +X0000601900Y0001587548D01* +X0000601100Y0001588048D01* +X0000600399Y0001588548D01* +X0000599700Y0001588948D01* +X0000599100Y0001589248D01* +X0000598699Y0001589548D01* +X0000598300Y0001589748D01* +X0000598199Y0001589848D01* +X0000598100Y0001589848D01* +X0000598199Y0001589948D01* +X0000598300Y0001590248D01* +X0000598499Y0001590648D01* +X0000598699Y0001591048D01* +X0000598999Y0001591548D01* +X0000599299Y0001592048D01* +X0000599599Y0001592548D01* +X0000599899Y0001592948D01* +X0000600099Y0001593348D01* +X0000600300Y0001593648D01* +X0000600399Y0001593748D01* +X0000600399Y0001593748D01* +X0000600500Y0001593748D01* +X0000600800Y0001593548D01* +X0000601100Y0001593348D01* +X0000601600Y0001593048D01* +X0000602200Y0001592648D01* +X0000602899Y0001592148D01* +X0000603699Y0001591648D01* +X0000604499Y0001591148D01* +X0000605299Y0001590648D01* +X0000606200Y0001590048D01* +X0000607199Y0001589448D01* +X0000608299Y0001588648D01* +X0000609500Y0001587848D01* +X0000610799Y0001587048D01* +X0000612099Y0001586148D01* +X0000613400Y0001585348D01* +X0000614799Y0001584448D01* +X0000616000Y0001583648D01* +X0000617299Y0001582848D01* +X0000617299Y0001582848D01* +X0000624500Y0001578148D01* +X0000625100Y0001579348D01* +X0000625799Y0001580548D01* +X0000626499Y0001581848D01* +X0000627200Y0001582948D01* +X0000627300Y0001583148D01* +X0000627500Y0001583448D01* +X0000627699Y0001583748D01* +X0000627800Y0001583948D01* +X0000627800Y0001583948D01* +X0000627699Y0001584048D01* +X0000627500Y0001584248D01* +X0000627200Y0001584548D01* +X0000626700Y0001584948D01* +X0000626100Y0001585448D01* +X0000625499Y0001586048D01* +X0000624699Y0001586748D01* +X0000623799Y0001587548D01* +X0000622900Y0001588348D01* +X0000621899Y0001589248D01* +X0000620899Y0001590248D01* +X0000619799Y0001591248D01* +X0000618699Y0001592248D01* +X0000617500Y0001593348D01* +X0000616300Y0001594448D01* +X0000615099Y0001595548D01* +X0000613900Y0001596548D01* +X0000612699Y0001597648D01* +X0000611500Y0001598748D01* +X0000610300Y0001599848D01* +X0000609200Y0001600848D01* +X0000609000Y0001601048D01* +X0000608200Y0001601748D01* +X0000607600Y0001602348D01* +X0000607000Y0001602848D01* +X0000606599Y0001603248D01* +X0000606399Y0001603548D01* +X0000606200Y0001603748D01* +X0000606099Y0001603848D01* +X0000606099Y0001603848D01* +X0000606200Y0001603948D01* +X0000606300Y0001604148D01* +X0000606599Y0001604548D01* +X0000606800Y0001605048D01* +X0000607100Y0001605548D01* +X0000607400Y0001606048D01* +X0000607699Y0001606648D01* +X0000608100Y0001607148D01* +X0000608299Y0001607648D01* +X0000608599Y0001608048D01* +X0000608799Y0001608448D01* +X0000608899Y0001608548D01* +X0000608899Y0001608648D01* +X0000609000Y0001608548D01* +X0000609200Y0001608448D01* +X0000609699Y0001608248D01* +X0000610199Y0001608048D01* +X0000610900Y0001607648D01* +X0000611700Y0001607248D01* +X0000612599Y0001606848D01* +X0000613600Y0001606348D01* +X0000614700Y0001605848D01* +X0000615800Y0001605248D01* +X0000616999Y0001604648D01* +X0000618000Y0001604148D01* +X0000619199Y0001603548D01* +X0000620400Y0001602948D01* +X0000621500Y0001602448D01* +X0000622600Y0001601848D01* +X0000623599Y0001601348D01* +X0000624500Y0001600948D01* +X0000625199Y0001600548D01* +X0000625900Y0001600248D01* +X0000626400Y0001600048D01* +X0000626799Y0001599848D01* +X0000627000Y0001599748D01* +X0000627000Y0001599748D01* +X0000627000Y0001599748D01* +X0000626799Y0001600048D01* +X0000626499Y0001600348D01* +X0000626200Y0001600848D01* +X0000625699Y0001601448D01* +X0000625100Y0001602148D01* +X0000624500Y0001602948D01* +X0000623799Y0001603848D01* +X0000623100Y0001604748D01* +X0000622199Y0001605848D01* +X0000621399Y0001606848D01* +X0000620500Y0001608048D01* +X0000620299Y0001608248D01* +X0000613600Y0001616748D01* +X0000613799Y0001617048D01* +X0000613900Y0001617248D01* +X0000614100Y0001617548D01* +X0000614299Y0001617948D01* +X0000614599Y0001618448D01* +X0000614899Y0001619048D01* +X0000614899Y0001619048D01* +X0000615200Y0001619648D01* +X0000615599Y0001620148D01* +X0000615800Y0001620648D01* +X0000616100Y0001621048D01* +X0000616199Y0001621248D01* +X0000616600Y0001621848D01* +X0000618200Y0001620348D01* +X0000618800Y0001619748D01* +X0000619400Y0001619148D01* +X0000620100Y0001618548D01* +X0000620700Y0001617948D01* +X0000621200Y0001617448D01* +X0000622699Y0001616048D01* +X0000622100Y0001615648D01* +X0000621599Y0001615148D01* +X0000621200Y0001614548D01* +X0000620899Y0001613848D01* +X0000620799Y0001613248D01* +X0000620799Y0001612848D01* +X0000620899Y0001612548D01* +X0000621099Y0001612248D01* +X0000621399Y0001612048D01* +X0000621500Y0001612048D01* +X0000622000Y0001611748D01* +X0000622400Y0001611348D01* +X0000622499Y0001611148D01* +X0000622799Y0001610848D01* +X0000623100Y0001610648D01* +X0000623299Y0001610448D01* +X0000623299Y0001610448D01* +X0000623500Y0001610348D01* +X0000623700Y0001610248D01* +X0000623899Y0001609948D01* +X0000624300Y0001609648D01* +X0000624699Y0001609148D01* +X0000624800Y0001609048D01* +X0000625199Y0001608648D01* +X0000625600Y0001608448D01* +X0000625999Y0001608248D01* +X0000626400Y0001608148D01* +X0000626400Y0001608248D01* +X0000626700Y0001608348D01* +X0000627099Y0001608648D01* +X0000627500Y0001609048D01* +X0000627800Y0001609448D01* +X0000628100Y0001609748D01* +X0000628300Y0001609948D01* +X0000628400Y0001610048D01* +X0000628400Y0001610048D01* +X0000628499Y0001609948D01* +X0000628699Y0001609748D01* +X0000628999Y0001609448D01* +X0000629299Y0001609048D01* +X0000629700Y0001608648D01* +X0000629900Y0001608448D01* +X0000632499Y0001605348D01* +X0000634999Y0001602348D01* +X0000637300Y0001599448D01* +X0000638400Y0001597948D01* +X0000639800Y0001596148D01* +X0000640799Y0001596848D01* +X0000641400Y0001597248D01* +X0000642099Y0001597648D01* +X0000642699Y0001598048D01* +X0000643400Y0001598448D01* +X0000643900Y0001598748D01* +X0000644299Y0001598948D01* +X0000644599Y0001599148D01* +X0000644900Y0001599248D01* +X0000644900Y0001599348D01* +X0000645000Y0001599348D01* +X0000645000Y0001599348D01* +X0000645000Y0001599448D01* +X0000645000Y0001599548D01* +X0000644900Y0001599748D01* +X0000644799Y0001599948D01* +X0000644700Y0001600248D01* +X0000644599Y0001600548D01* +X0000644400Y0001601048D01* +X0000644100Y0001601548D01* +X0000643900Y0001602248D01* +X0000643499Y0001602948D01* +X0000643100Y0001603848D01* +X0000642699Y0001604948D01* +X0000642099Y0001606048D01* +X0000641499Y0001607448D01* +X0000640900Y0001608848D01* +X0000640499Y0001609748D01* +X0000639699Y0001611348D01* +X0000639000Y0001613148D01* +X0000638100Y0001614948D01* +X0000637199Y0001616848D01* +X0000636300Y0001618848D01* +X0000635400Y0001620848D01* +X0000634600Y0001622748D01* +X0000633699Y0001624648D01* +X0000632900Y0001626448D01* +X0000632100Y0001628148D01* +X0000631400Y0001629748D01* +X0000631400Y0001629748D01* +X0000630800Y0001631148D01* +X0000630200Y0001632348D01* +X0000629599Y0001633548D01* +X0000629100Y0001634648D01* +X0000628600Y0001635748D01* +X0000628199Y0001636648D01* +X0000627800Y0001637548D01* +X0000627500Y0001638248D01* +X0000627300Y0001638848D01* +X0000627099Y0001639248D01* +X0000626900Y0001639548D01* +X0000626900Y0001639648D01* +X0000626900Y0001639648D01* +X0000626900Y0001639748D01* +X0000627099Y0001640048D01* +X0000627300Y0001640348D01* +X0000627500Y0001640848D01* +X0000627899Y0001641448D01* +X0000628199Y0001642048D01* +X0000628600Y0001642748D01* +X0000628999Y0001643448D01* +X0000629400Y0001644248D01* +X0000629799Y0001644948D01* +X0000630200Y0001645548D01* +X0000630599Y0001646148D01* +X0000630899Y0001646648D01* +X0000631100Y0001647148D01* +X0000631300Y0001647448D01* +X0000631400Y0001647548D01* +X0000631499Y0001647748D01* +X0000631900Y0001647148D01* +X0000632100Y0001646648D01* +X0000632499Y0001646348D01* +X0000632900Y0001646148D01* +X0000633300Y0001646148D01* +X0000634000Y0001646248D01* +X0000634699Y0001646448D01* +X0000635299Y0001646848D01* +X0000636000Y0001647448D01* +X0000636699Y0001648248D01* +X0000637100Y0001648848D01* +X0000637699Y0001649748D01* +X0000638200Y0001650448D01* +X0000638499Y0001651048D01* +X0000638700Y0001651548D01* +X0000638799Y0001652048D01* +X0000638900Y0001652048D01* +X0000639000Y0001652548D01* +X0000639000Y0001652948D01* +X0000639000Y0001653248D01* +X0000638900Y0001653548D01* +X0000638700Y0001653848D01* +X0000638400Y0001654148D01* +X0000638100Y0001654448D01* +X0000637799Y0001654848D01* +X0000637400Y0001655248D01* +X0000637100Y0001655548D01* +X0000636800Y0001655848D01* +X0000636399Y0001656248D01* +X0000638100Y0001659148D01* +X0000638499Y0001659848D01* +X0000638799Y0001660448D01* +X0000639099Y0001660948D01* +X0000639399Y0001661448D01* +X0000639599Y0001661848D01* +X0000639800Y0001662048D01* +X0000639800Y0001662048D01* +X0000639800Y0001661948D01* +X0000639899Y0001661748D01* +X0000640000Y0001661248D01* +X0000640199Y0001660648D01* +X0000640499Y0001659948D01* +X0000640699Y0001659048D01* +X0000640999Y0001657948D01* +X0000641400Y0001656748D01* +X0000641799Y0001655448D01* +X0000642200Y0001654048D01* +X0000642599Y0001652548D01* +X0000643100Y0001650948D01* +X0000643600Y0001649248D01* +X0000644100Y0001647448D01* +X0000644700Y0001645548D01* +X0000645200Y0001643648D01* +X0000645800Y0001641648D01* +X0000646400Y0001639648D01* +X0000646999Y0001637548D01* +X0000647599Y0001635448D01* +X0000648200Y0001633248D01* +X0000648800Y0001631148D01* +X0000649499Y0001628948D01* +X0000650100Y0001626848D01* +X0000650700Y0001624648D01* +X0000651300Y0001622548D01* +X0000651899Y0001620448D01* +X0000652499Y0001618448D01* +X0000653100Y0001616348D01* +X0000653599Y0001614448D01* +X0000653900Y0001613348D01* +X0000654300Y0001612048D01* +X0000654699Y0001610748D01* +X0000655000Y0001609548D01* +X0000655300Y0001608448D01* +X0000655600Y0001607348D01* +X0000655899Y0001606448D01* +X0000656200Y0001605648D01* +X0000656400Y0001604948D01* +X0000656499Y0001604348D01* +X0000656599Y0001603948D01* +X0000656700Y0001603748D01* +X0000656700Y0001603748D01* +X0000656799Y0001603648D01* +X0000657099Y0001603748D01* +X0000657500Y0001603748D01* +X0000658000Y0001603848D01* +X0000658100Y0001603848D01* +X0000660200Y0001604248D01* +X0000662400Y0001604448D01* +X0000664499Y0001604648D01* +X0000666699Y0001604748D01* +X0000668700Y0001604648D01* +X0000670300Y0001604648D01* +X0000670899Y0001604548D01* +X0000671299Y0001604548D01* +X0000671499Y0001604548D01* +X0000671700Y0001604648D01* +X0000671799Y0001604748D01* +X0000671799Y0001604948D01* +X0000671799Y0001605048D01* +X0000671799Y0001605248D01* +X0000671900Y0001605648D01* +X0000671900Y0001606048D01* +X0000672000Y0001606548D01* +X0000672099Y0001607548D01* +X0000672099Y0001608348D01* +X0000672099Y0001608948D01* +X0000672000Y0001609248D01* +X0000671799Y0001609448D01* +X0000671599Y0001609748D01* +X0000671299Y0001610048D01* +X0000670899Y0001610448D01* +X0000670699Y0001610548D01* +X0000670100Y0001611048D01* +X0000669699Y0001611548D01* +X0000669300Y0001611948D01* +X0000669000Y0001612348D01* +X0000668799Y0001612748D01* +X0000668599Y0001612948D01* +X0000668299Y0001613548D01* +X0000668599Y0001615048D01* +X0000668700Y0001615648D01* +X0000668900Y0001616348D01* +X0000669000Y0001616948D01* +X0000669099Y0001617448D01* +X0000669200Y0001617548D01* +X0000669300Y0001617948D01* +X0000669399Y0001618348D01* +X0000669399Y0001618648D01* +X0000669399Y0001618748D01* +X0000669200Y0001619048D01* +X0000668900Y0001619448D01* +X0000668599Y0001619748D01* +X0000668299Y0001619848D01* +X0000668100Y0001619948D01* +X0000667899Y0001620048D01* +X0000667600Y0001620048D01* +X0000667300Y0001620048D01* +X0000667000Y0001619948D01* +X0000666500Y0001619948D01* +X0000666099Y0001619948D01* +X0000665900Y0001619948D01* +X0000665700Y0001620148D01* +X0000665599Y0001620348D01* +X0000665599Y0001620548D01* +X0000665299Y0001620748D01* +X0000665200Y0001620848D01* +X0000664999Y0001620948D01* +X0000664800Y0001620948D01* +X0000664499Y0001620948D01* +X0000664300Y0001620848D01* +X0000663899Y0001620748D01* +X0000663500Y0001620648D01* +X0000663000Y0001620448D01* +X0000662700Y0001620248D01* +X0000662200Y0001620048D01* +X0000661800Y0001619848D01* +X0000661600Y0001619848D01* +X0000661400Y0001619848D01* +X0000661300Y0001620048D01* +X0000661100Y0001620248D01* +X0000661100Y0001620348D01* +X0000661000Y0001620448D01* +X0000661000Y0001620748D01* +X0000660899Y0001621148D01* +X0000660800Y0001621648D01* +X0000660800Y0001622248D01* +X0000660699Y0001623048D01* +X0000660599Y0001623948D01* +X0000660500Y0001625148D01* +X0000660500Y0001626148D01* +X0000660399Y0001626548D01* +X0000660399Y0001627148D01* +X0000660399Y0001627848D01* +X0000660399Y0001628548D01* +X0000660399Y0001629448D01* +X0000660399Y0001630448D01* +X0000660300Y0001631448D01* +X0000660300Y0001632448D01* +X0000660300Y0001633548D01* +X0000660300Y0001634548D01* +X0000660300Y0001635648D01* +X0000660300Y0001636648D01* +X0000660300Y0001637548D01* +X0000660300Y0001638448D01* +X0000660300Y0001639248D01* +X0000660300Y0001639948D01* +X0000660300Y0001640548D01* +X0000660300Y0001640948D01* +X0000660300Y0001641148D01* +X0000660300Y0001641248D01* +X0000660399Y0001641148D01* +X0000660399Y0001642148D01* +X0000660399Y0001642448D01* +X0000660399Y0001642548D01* +X0000660399Y0001642648D01* +X0000660399Y0001642548D01* +X0000660399Y0001642248D01* +X0000660399Y0001642148D01* +X0000660399Y0001641948D01* +X0000660399Y0001641748D01* +X0000660399Y0001641748D01* +X0000660399Y0001641848D01* +X0000660399Y0001642148D01* +X0000660399Y0001641148D01* +X0000660399Y0001641148D01* +X0000660500Y0001640948D01* +X0000660500Y0001640648D01* +X0000660500Y0001640548D01* +X0000660500Y0001647148D01* +X0000660500Y0001647448D01* +X0000660500Y0001647948D01* +X0000660599Y0001648448D01* +X0000660599Y0001649148D01* +X0000660599Y0001649948D01* +X0000660699Y0001650748D01* +X0000660699Y0001650948D01* +X0000661000Y0001656148D01* +X0000661300Y0001661548D01* +X0000661699Y0001667048D01* +X0000662200Y0001672748D01* +X0000662799Y0001678648D01* +X0000663399Y0001684648D01* +X0000664100Y0001690848D01* +X0000664400Y0001693848D01* +X0000664899Y0001698248D01* +X0000665499Y0001697148D01* +X0000665900Y0001696248D01* +X0000666200Y0001695448D01* +X0000666300Y0001695248D01* +X0000666500Y0001694448D01* +X0000666800Y0001693848D01* +X0000667100Y0001693248D01* +X0000667499Y0001692848D01* +X0000667699Y0001692648D01* +X0000667899Y0001692448D01* +X0000668299Y0001692048D01* +X0000668700Y0001691548D01* +X0000669099Y0001691148D01* +X0000669300Y0001690848D01* +X0000669800Y0001690448D01* +X0000670199Y0001689948D01* +X0000670600Y0001689548D01* +X0000670999Y0001689148D01* +X0000671100Y0001689048D01* +X0000671599Y0001688648D01* +X0000672099Y0001688148D01* +X0000672599Y0001687648D01* +X0000673000Y0001687148D01* +X0000673100Y0001686848D01* +X0000673300Y0001686548D01* +X0000673400Y0001686148D01* +X0000673499Y0001685948D01* +X0000673600Y0001685448D01* +X0000673800Y0001685048D01* +X0000673900Y0001684848D01* +X0000673999Y0001684548D01* +X0000674299Y0001684248D01* +X0000674700Y0001683748D01* +X0000675099Y0001683248D01* +X0000675699Y0001682648D01* +X0000676300Y0001682048D01* +X0000676900Y0001681448D01* +X0000677499Y0001680948D01* +X0000677599Y0001680848D01* +X0000678300Y0001680248D01* +X0000678699Y0001679648D01* +X0000679100Y0001679148D01* +X0000679400Y0001678548D01* +X0000679499Y0001678348D01* +X0000679800Y0001677848D01* +X0000680200Y0001677448D01* +X0000680700Y0001677248D01* +X0000681300Y0001677148D01* +X0000682000Y0001677248D01* +X0000682600Y0001677348D01* +X0000683299Y0001677648D01* +X0000683900Y0001677948D01* +X0000684599Y0001678448D01* +X0000685300Y0001679148D01* +X0000685999Y0001679948D01* +X0000686599Y0001680748D01* +X0000687000Y0001681448D01* +X0000687500Y0001682048D01* +X0000687899Y0001682748D01* +X0000688199Y0001683348D01* +X0000688499Y0001683848D01* +X0000688800Y0001684348D01* +X0000688900Y0001684648D01* +X0000688900Y0001684648D01* +X0000688999Y0001685048D01* +X0000689099Y0001685448D01* +X0000689099Y0001685948D01* +X0000689200Y0001686348D01* +X0000689200Y0001686748D01* +X0000689200Y0001686848D01* +X0000689200Y0001687048D01* +X0000689099Y0001687248D01* +X0000688900Y0001687448D01* +X0000688699Y0001687848D01* +X0000688300Y0001688248D01* +X0000687899Y0001688748D01* +X0000687300Y0001689248D01* +X0000686599Y0001690048D01* +X0000686200Y0001690448D01* +X0000685499Y0001691148D01* +X0000684899Y0001691748D01* +X0000684399Y0001692248D01* +X0000684000Y0001692748D01* +X0000683700Y0001693148D01* +X0000683400Y0001693648D01* +X0000683200Y0001694048D01* +X0000682900Y0001694548D01* +X0000682699Y0001694948D01* +X0000682499Y0001695448D01* +X0000682199Y0001695948D01* +X0000682000Y0001696448D01* +X0000681899Y0001696648D01* +X0000681599Y0001697148D01* +X0000681200Y0001697648D01* +X0000680900Y0001698248D01* +X0000680599Y0001698848D01* +X0000680299Y0001699348D01* +X0000680099Y0001699748D01* +X0000679999Y0001700148D01* +X0000679900Y0001700248D01* +X0000679800Y0001700548D01* +X0000679800Y0001700848D01* +X0000679800Y0001700848D01* +X0000679699Y0001701148D01* +X0000679499Y0001701448D01* +X0000679199Y0001701848D01* +X0000678800Y0001702248D01* +X0000678300Y0001702648D01* +X0000677900Y0001702948D01* +X0000677499Y0001703148D01* +X0000677200Y0001703348D01* +X0000677100Y0001703348D01* +X0000676900Y0001703248D01* +X0000676499Y0001703248D01* +X0000676100Y0001703048D01* +X0000675500Y0001702848D01* +X0000675000Y0001702748D01* +X0000674900Y0001702648D01* +X0000674299Y0001702448D01* +X0000673800Y0001702248D01* +X0000673400Y0001702148D01* +X0000673100Y0001702148D01* +X0000672899Y0001702048D01* +X0000672699Y0001702048D01* +X0000672500Y0001702048D01* +X0000672200Y0001702048D01* +X0000671599Y0001702148D01* +X0000670899Y0001702248D01* +X0000670199Y0001702448D01* +X0000669599Y0001702648D01* +X0000669099Y0001702848D01* +X0000669000Y0001702948D01* +X0000668599Y0001703148D01* +X0000668200Y0001703248D01* +X0000668100Y0001703248D01* +X0000667600Y0001703248D01* +X0000667000Y0001703048D01* +X0000666500Y0001702848D01* +X0000666000Y0001702648D01* +X0000665900Y0001702548D01* +X0000665700Y0001702348D01* +X0000665499Y0001702248D01* +X0000665400Y0001702248D01* +X0000665400Y0001702348D01* +X0000665400Y0001702548D01* +X0000665400Y0001702648D01* +X0000665400Y0001702948D01* +X0000665299Y0001703448D01* +X0000665100Y0001703848D01* +X0000664999Y0001704148D01* +X0000664699Y0001705248D01* +X0000669800Y0001714148D01* +X0000670600Y0001715448D01* +X0000671200Y0001716548D01* +X0000671900Y0001717648D01* +X0000672500Y0001718748D01* +X0000673000Y0001719648D01* +X0000673499Y0001720548D01* +X0000673999Y0001721248D01* +X0000674299Y0001721948D01* +X0000674599Y0001722448D01* +X0000674900Y0001722848D01* +X0000675000Y0001723048D01* +X0000675000Y0001723148D01* +X0000675000Y0001723048D01* +X0000675200Y0001722748D01* +X0000675399Y0001722348D01* +X0000675699Y0001721848D01* +X0000676100Y0001721248D01* +X0000676499Y0001720448D01* +X0000677100Y0001719548D01* +X0000677599Y0001718548D01* +X0000678300Y0001717448D01* +X0000678899Y0001716348D01* +X0000679600Y0001715148D01* +X0000680299Y0001713848D01* +X0000681099Y0001712548D01* +X0000681899Y0001711248D01* +X0000682600Y0001709848D01* +X0000683400Y0001708448D01* +X0000684200Y0001707148D01* +X0000685000Y0001705748D01* +X0000685800Y0001704448D01* +X0000686499Y0001703148D01* +X0000687300Y0001701848D01* +X0000688000Y0001700648D01* +X0000688600Y0001699448D01* +X0000689200Y0001698448D01* +X0000689799Y0001697448D01* +X0000690300Y0001696548D01* +X0000690800Y0001695748D01* +X0000691100Y0001695048D01* +X0000691499Y0001694548D01* +X0000691699Y0001694048D01* +X0000691800Y0001693848D01* +X0000691900Y0001693748D01* +X0000691900Y0001693648D01* +X0000691800Y0001693248D01* +X0000691699Y0001692848D01* +X0000691600Y0001692148D01* +X0000691499Y0001691348D01* +X0000691399Y0001690448D01* +X0000691199Y0001689448D01* +X0000691000Y0001688248D01* +X0000690800Y0001687048D01* +X0000690500Y0001685648D01* +X0000690300Y0001684248D01* +X0000690000Y0001682748D01* +X0000689799Y0001681248D01* +X0000689499Y0001679648D01* +X0000689200Y0001678048D01* +X0000688900Y0001676448D01* +X0000688600Y0001674848D01* +X0000688399Y0001673148D01* +X0000688100Y0001671548D01* +X0000687800Y0001670048D01* +X0000687500Y0001668448D01* +X0000687300Y0001666948D01* +X0000687000Y0001665548D01* +X0000686799Y0001664248D01* +X0000686499Y0001662948D01* +X0000686299Y0001661848D01* +X0000686200Y0001660748D01* +X0000685999Y0001659848D01* +X0000685800Y0001659048D01* +X0000685699Y0001658448D01* +X0000685600Y0001657948D01* +X0000685600Y0001657648D01* +X0000685499Y0001657548D01* +X0000685499Y0001657348D01* +X0000685400Y0001657148D01* +X0000685300Y0001656748D01* +X0000685199Y0001656348D01* +X0000685000Y0001655848D01* +X0000684899Y0001655148D01* +X0000684699Y0001654448D01* +X0000684500Y0001653548D01* +X0000684300Y0001652548D01* +X0000684099Y0001651448D01* +X0000683799Y0001650148D01* +X0000683499Y0001648748D01* +X0000683200Y0001647148D01* +X0000682800Y0001645448D01* +X0000682400Y0001643548D01* +X0000682000Y0001641448D01* +X0000681599Y0001639248D01* +X0000681200Y0001637448D01* +X0000680900Y0001635848D01* +X0000680599Y0001634448D01* +X0000680299Y0001633148D01* +X0000680099Y0001632048D01* +X0000679900Y0001631048D01* +X0000679699Y0001630248D01* +X0000679600Y0001629548D01* +X0000679400Y0001628948D01* +X0000679300Y0001628448D01* +X0000679300Y0001628048D01* +X0000679199Y0001627748D01* +X0000679100Y0001627448D01* +X0000679100Y0001627348D01* +X0000679100Y0001627148D01* +X0000679000Y0001627148D01* +X0000679000Y0001627048D01* +X0000679000Y0001627048D01* +X0000678899Y0001627148D01* +X0000678800Y0001627348D01* +X0000678800Y0001627448D01* +X0000678699Y0001627748D01* +X0000678500Y0001628248D01* +X0000678200Y0001628748D01* +X0000677900Y0001629348D01* +X0000677499Y0001630048D01* +X0000677100Y0001630748D01* +X0000676800Y0001631248D01* +X0000676499Y0001631848D01* +X0000676199Y0001632448D01* +X0000675899Y0001633048D01* +X0000675699Y0001633448D01* +X0000675300Y0001634448D01* +X0000674900Y0001635548D01* +X0000674299Y0001636748D01* +X0000673699Y0001638048D01* +X0000673100Y0001639348D01* +X0000672500Y0001640548D01* +X0000671900Y0001641848D01* +X0000671499Y0001642548D01* +X0000670000Y0001645548D01* +X0000669200Y0001646348D01* +X0000668200Y0001647248D01* +X0000667199Y0001648048D01* +X0000666200Y0001648548D01* +X0000665299Y0001649048D01* +X0000664400Y0001649248D01* +X0000663599Y0001649348D01* +X0000662900Y0001649448D01* +X0000662200Y0001649348D01* +X0000661800Y0001649248D01* +X0000661400Y0001649048D01* +X0000661400Y0001648948D01* +X0000661300Y0001648748D01* +X0000661100Y0001648448D01* +X0000660899Y0001648048D01* +X0000660899Y0001647848D01* +X0000660699Y0001647548D01* +X0000660599Y0001647248D01* +X0000660500Y0001647148D01* +X0000660500Y0001647048D01* +X0000660500Y0001647148D01* +X0000660500Y0001640548D01* +X0000660599Y0001640048D01* +X0000660800Y0001639548D01* +X0000661000Y0001639148D01* +X0000661400Y0001638648D01* +X0000661899Y0001638248D01* +X0000662499Y0001637748D01* +X0000662900Y0001637448D01* +X0000663099Y0001637148D01* +X0000663200Y0001636948D01* +X0000663200Y0001636848D01* +X0000663099Y0001636748D01* +X0000663000Y0001636548D01* +X0000663000Y0001636248D01* +X0000663000Y0001635848D01* +X0000663200Y0001635248D01* +X0000663399Y0001634548D01* +X0000663500Y0001634448D01* +X0000664000Y0001633148D01* +X0000664499Y0001633048D01* +X0000665100Y0001632848D01* +X0000665599Y0001632848D01* +X0000666099Y0001632848D01* +X0000666500Y0001632948D01* +X0000667000Y0001633248D01* +X0000667100Y0001633248D01* +X0000667600Y0001633648D01* +X0000668200Y0001633748D01* +X0000668700Y0001633748D01* +X0000668900Y0001633748D01* +X0000669200Y0001633548D01* +X0000669399Y0001633348D01* +X0000669399Y0001633048D01* +X0000669399Y0001632748D01* +X0000669399Y0001632448D01* +X0000669399Y0001632248D01* +X0000669500Y0001631948D01* +X0000669599Y0001631548D01* +X0000669699Y0001631448D01* +X0000669899Y0001630948D01* +X0000670100Y0001630448D01* +X0000670300Y0001630048D01* +X0000670400Y0001630048D01* +X0000670600Y0001629648D01* +X0000670800Y0001629248D01* +X0000670999Y0001628748D01* +X0000671100Y0001628748D01* +X0000671299Y0001628348D01* +X0000671599Y0001627848D01* +X0000671900Y0001627348D01* +X0000672000Y0001627248D01* +X0000672500Y0001626648D01* +X0000672899Y0001625948D01* +X0000673300Y0001625248D01* +X0000673699Y0001624548D01* +X0000673900Y0001624048D01* +X0000673900Y0001624048D01* +X0000674100Y0001623648D01* +X0000674100Y0001623448D01* +X0000674100Y0001623348D01* +X0000673999Y0001623248D01* +X0000673999Y0001623148D01* +X0000673800Y0001622948D01* +X0000673699Y0001622648D01* +X0000673699Y0001622648D01* +X0000673499Y0001622448D01* +X0000673300Y0001622048D01* +X0000673000Y0001621748D01* +X0000672899Y0001621548D01* +X0000672599Y0001621248D01* +X0000672399Y0001620948D01* +X0000672300Y0001620648D01* +X0000672300Y0001620348D01* +X0000672399Y0001619948D01* +X0000672399Y0001619648D01* +X0000672500Y0001619248D01* +X0000672699Y0001618948D01* +X0000672899Y0001618648D01* +X0000673000Y0001618548D01* +X0000673100Y0001618448D01* +X0000673199Y0001618348D01* +X0000673300Y0001618248D01* +X0000673400Y0001618248D01* +X0000673600Y0001618148D01* +X0000673800Y0001618148D01* +X0000674100Y0001618148D01* +X0000674599Y0001618148D01* +X0000675000Y0001618148D01* +X0000675800Y0001618248D01* +X0000676699Y0001618248D01* +X0000676800Y0001618248D01* +X0000677499Y0001618248D01* +X0000678099Y0001618248D01* +X0000678500Y0001618248D01* +X0000678699Y0001618248D01* +X0000678899Y0001618248D01* +X0000679000Y0001618248D01* +X0000679000Y0001618148D01* +X0000679000Y0001618048D01* +X0000678899Y0001617748D01* +X0000678899Y0001617348D01* +X0000678800Y0001616748D01* +X0000678599Y0001615948D01* +X0000678500Y0001615148D01* +X0000678300Y0001614148D01* +X0000678200Y0001613148D01* +X0000678000Y0001612048D01* +X0000677799Y0001610948D01* +X0000677599Y0001609748D01* +X0000677400Y0001608648D01* +X0000677200Y0001607648D01* +X0000677100Y0001606748D01* +X0000676999Y0001605848D01* +X0000676800Y0001605148D01* +X0000676699Y0001604548D01* +X0000676699Y0001604148D01* +X0000676600Y0001603848D01* +X0000676600Y0001603748D01* +X0000676699Y0001603748D01* +X0000676999Y0001603648D01* +X0000677400Y0001603548D01* +X0000677900Y0001603448D01* +X0000678300Y0001603348D01* +X0000678899Y0001603148D01* +X0000679699Y0001602948D01* +X0000680499Y0001602748D01* +X0000681099Y0001602548D01* +X0000681200Y0001602448D01* +X0000681699Y0001602348D01* +X0000682199Y0001602248D01* +X0000682499Y0001602148D01* +X0000682699Y0001602148D01* +X0000682699Y0001602148D01* +X0000682800Y0001602248D01* +X0000682900Y0001602548D01* +X0000682999Y0001602948D01* +X0000683299Y0001603548D01* +X0000683499Y0001604348D01* +X0000683799Y0001605248D01* +X0000684200Y0001606348D01* +X0000684599Y0001607548D01* +X0000685100Y0001608848D01* +X0000685600Y0001610248D01* +X0000686099Y0001611848D01* +X0000686700Y0001613448D01* +X0000687300Y0001615248D01* +X0000687899Y0001617048D01* +X0000688600Y0001618948D01* +X0000689299Y0001620948D01* +X0000690000Y0001622948D01* +X0000690700Y0001625048D01* +X0000691399Y0001627248D01* +X0000692200Y0001629448D01* +X0000692900Y0001631648D01* +X0000693700Y0001633948D01* +X0000694499Y0001636148D01* +X0000695299Y0001638448D01* +X0000696000Y0001640748D01* +X0000696800Y0001643048D01* +X0000697600Y0001645248D01* +X0000698400Y0001647548D01* +X0000699099Y0001649748D01* +X0000699899Y0001651948D01* +X0000700600Y0001654048D01* +X0000701299Y0001656148D01* +X0000702000Y0001658148D01* +X0000702700Y0001660148D01* +X0000702899Y0001660948D01* +X0000703399Y0001662148D01* +X0000703800Y0001663348D01* +X0000704100Y0001664448D01* +X0000704499Y0001665548D01* +X0000704799Y0001666448D01* +X0000705099Y0001667248D01* +X0000705399Y0001668048D01* +X0000705599Y0001668648D01* +X0000705700Y0001669048D01* +X0000705800Y0001669348D01* +X0000705899Y0001669448D01* +X0000705899Y0001669448D01* +X0000705899Y0001669348D01* +X0000706100Y0001669148D01* +X0000706300Y0001668748D01* +X0000706600Y0001668248D01* +X0000706999Y0001667648D01* +X0000707400Y0001666948D01* +X0000707900Y0001666148D01* +X0000708399Y0001665248D01* +X0000708899Y0001664348D01* +X0000709499Y0001663348D01* +X0000710100Y0001662348D01* +X0000710599Y0001661348D01* +X0000711200Y0001660348D01* +X0000711800Y0001659348D01* +X0000712399Y0001658448D01* +X0000712900Y0001657448D01* +X0000713400Y0001656648D01* +X0000713799Y0001655848D01* +X0000714200Y0001655148D01* +X0000714599Y0001654548D01* +X0000714899Y0001654048D01* +X0000715000Y0001653648D01* +X0000715199Y0001653448D01* +X0000715199Y0001653348D01* +X0000715100Y0001653248D01* +X0000715000Y0001652948D01* +X0000714800Y0001652548D01* +X0000714500Y0001652048D01* +X0000714200Y0001651348D01* +X0000713799Y0001650548D01* +X0000713299Y0001649548D01* +X0000712800Y0001648548D01* +X0000712199Y0001647448D01* +X0000711599Y0001646248D01* +X0000711000Y0001645048D01* +X0000710299Y0001643748D01* +X0000709999Y0001643148D01* +X0000709399Y0001641948D01* +X0000708700Y0001640648D01* +X0000708000Y0001639148D01* +X0000707100Y0001637548D01* +X0000706300Y0001635748D01* +X0000705300Y0001633948D01* +X0000704400Y0001632048D01* +X0000703399Y0001630148D01* +X0000702300Y0001628148D01* +X0000701299Y0001626148D01* +X0000700300Y0001624048D01* +X0000699300Y0001622048D01* +X0000698199Y0001620048D01* +X0000697199Y0001618148D01* +X0000696399Y0001616448D01* +X0000687899Y0001599848D01* +X0000688600Y0001599448D01* +X0000690500Y0001598348D01* +X0000692200Y0001597348D01* +X0000693899Y0001596248D01* +X0000695499Y0001595048D01* +X0000695900Y0001594748D01* +X0000696000Y0001594748D01* +X0000696300Y0001594948D01* +X0000696599Y0001595248D01* +X0000697100Y0001595548D01* +X0000697800Y0001596048D01* +X0000698499Y0001596648D01* +X0000698599Y0001596648D01* +X0000700199Y0001597948D01* +X0000702000Y0001599248D01* +X0000703900Y0001600748D01* +X0000705899Y0001602248D01* +X0000708099Y0001603848D01* +X0000710299Y0001605448D01* +X0000712100Y0001606748D01* +X0000712100Y0001624948D01* +X0000712199Y0001625548D01* +X0000712499Y0001626148D01* +X0000712999Y0001626848D01* +X0000713400Y0001627348D01* +X0000713799Y0001627848D01* +X0000714099Y0001628248D01* +X0000714300Y0001628748D01* +X0000714399Y0001629148D01* +X0000714399Y0001629248D01* +X0000714599Y0001629648D01* +X0000714800Y0001630048D01* +X0000715100Y0001630348D01* +X0000715699Y0001631048D01* +X0000715999Y0001631848D01* +X0000716200Y0001632448D01* +X0000716299Y0001632748D01* +X0000716400Y0001632948D01* +X0000716700Y0001633048D01* +X0000717200Y0001633148D01* +X0000717300Y0001633148D01* +X0000718100Y0001633248D01* +X0000718900Y0001633148D01* +X0000719700Y0001632848D01* +X0000720599Y0001632448D01* +X0000721300Y0001631848D01* +X0000721600Y0001631648D01* +X0000721900Y0001631248D01* +X0000721900Y0001630848D01* +X0000721900Y0001630348D01* +X0000721900Y0001630248D01* +X0000721699Y0001629748D01* +X0000721399Y0001629148D01* +X0000721100Y0001628548D01* +X0000720700Y0001627748D01* +X0000720300Y0001627048D01* +X0000719799Y0001626248D01* +X0000719400Y0001625748D01* +X0000719200Y0001625248D01* +X0000718999Y0001624948D01* +X0000718900Y0001624548D01* +X0000718800Y0001624248D01* +X0000718800Y0001624248D01* +X0000718600Y0001623648D01* +X0000718399Y0001623148D01* +X0000718199Y0001622848D01* +X0000718000Y0001622548D01* +X0000717599Y0001622348D01* +X0000717099Y0001622148D01* +X0000716700Y0001622048D01* +X0000716299Y0001621848D01* +X0000715999Y0001621848D01* +X0000715800Y0001621748D01* +X0000715699Y0001621748D01* +X0000715600Y0001621848D01* +X0000715499Y0001621848D01* +X0000715300Y0001622048D01* +X0000715000Y0001622248D01* +X0000715000Y0001622248D01* +X0000714700Y0001622448D01* +X0000714300Y0001622648D01* +X0000714099Y0001622748D01* +X0000713499Y0001623148D01* +X0000712900Y0001623448D01* +X0000712499Y0001623848D01* +X0000712300Y0001624048D01* +X0000712100Y0001624448D01* +X0000712100Y0001624948D01* +X0000712100Y0001606748D01* +X0000712600Y0001607148D01* +X0000715000Y0001608848D01* +X0000717500Y0001610648D01* +X0000719900Y0001612448D01* +X0000722400Y0001614148D01* +X0000724900Y0001615948D01* +X0000727300Y0001617648D01* +X0000729800Y0001619348D01* +X0000732200Y0001620948D01* +X0000732200Y0001620948D01* +X0000733399Y0001621848D01* +X0000733800Y0001621248D01* +X0000733900Y0001621148D01* +X0000733999Y0001620848D01* +X0000734299Y0001620448D01* +X0000734700Y0001619848D01* +X0000735099Y0001619048D01* +X0000735599Y0001618148D01* +X0000736199Y0001617048D01* +X0000736900Y0001615848D01* +X0000737700Y0001614548D01* +X0000738500Y0001613048D01* +X0000739499Y0001611448D01* +X0000740499Y0001609748D01* +X0000741500Y0001607948D01* +X0000742600Y0001605948D01* +X0000743799Y0001603948D01* +X0000745100Y0001601748D01* +X0000746400Y0001599448D01* +X0000747800Y0001597148D01* +X0000749200Y0001594648D01* +X0000750700Y0001592048D01* +X0000752200Y0001589448D01* +X0000753800Y0001586748D01* +X0000755400Y0001583948D01* +X0000757000Y0001581048D01* +X0000758700Y0001578148D01* +X0000760499Y0001575048D01* +X0000762200Y0001572048D01* +X0000764100Y0001568948D01* +X0000765899Y0001565748D01* +X0000767700Y0001562448D01* +X0000769600Y0001559348D01* +X0000771500Y0001556048D01* +X0000773499Y0001552648D01* +X0000775399Y0001549248D01* +X0000777399Y0001545848D01* +X0000779400Y0001542448D01* +X0000781399Y0001539048D01* +X0000783399Y0001535548D01* +X0000785400Y0001532048D01* +X0000787399Y0001528648D01* +X0000789399Y0001525148D01* +X0000791400Y0001521648D01* +X0000793399Y0001518148D01* +X0000795399Y0001514748D01* +X0000797400Y0001511248D01* +X0000799399Y0001507848D01* +X0000801299Y0001504448D01* +X0000803299Y0001501048D01* +X0000805199Y0001497748D01* +X0000807099Y0001494448D01* +X0000808999Y0001491148D01* +X0000810899Y0001487948D01* +X0000812700Y0001484748D01* +X0000814499Y0001481648D01* +X0000816299Y0001478548D01* +X0000817999Y0001475548D01* +X0000819700Y0001472548D01* +X0000821400Y0001469748D01* +X0000823000Y0001466948D01* +X0000824600Y0001464148D01* +X0000826100Y0001461548D01* +X0000827600Y0001458948D01* +X0000829000Y0001456548D01* +X0000830400Y0001454148D01* +X0000831700Y0001451848D01* +X0000832900Y0001449748D01* +X0000834099Y0001447648D01* +X0000835199Y0001445648D01* +X0000836299Y0001443848D01* +X0000837299Y0001442148D01* +X0000838199Y0001440548D01* +X0000839100Y0001439048D01* +X0000839799Y0001437748D01* +X0000840500Y0001436548D01* +X0000841100Y0001435448D01* +X0000841699Y0001434548D01* +X0000842100Y0001433748D01* +X0000842499Y0001433148D01* +X0000842700Y0001432748D01* +X0000842900Y0001432448D01* +X0000843000Y0001432248D01* +X0000843000Y0001432248D01* +X0000843000Y0001432248D01* +X0000842900Y0001432248D01* +X0000842799Y0001432248D01* +X0000842700Y0001432248D01* +X0000842499Y0001432248D01* +X0000842299Y0001432248D01* +X0000841999Y0001432248D01* +X0000841699Y0001432248D01* +X0000841300Y0001432248D01* +X0000840899Y0001432248D01* +X0000840399Y0001432248D01* +X0000839799Y0001432248D01* +X0000839199Y0001432248D01* +X0000838500Y0001432248D01* +X0000837800Y0001432248D01* +X0000836900Y0001432148D01* +X0000835999Y0001432148D01* +X0000835000Y0001432148D01* +X0000833900Y0001432148D01* +X0000832800Y0001432148D01* +X0000831500Y0001432148D01* +X0000830199Y0001432148D01* +X0000828700Y0001432148D01* +X0000827199Y0001432148D01* +X0000825599Y0001432148D01* +X0000823900Y0001432148D01* +X0000822000Y0001432148D01* +X0000820100Y0001432148D01* +X0000817999Y0001432148D01* +X0000815900Y0001432148D01* +X0000813599Y0001432148D01* +X0000811199Y0001432148D01* +X0000808699Y0001432148D01* +X0000806100Y0001432148D01* +X0000803299Y0001432148D01* +X0000800400Y0001432148D01* +X0000797400Y0001432148D01* +X0000794200Y0001432148D01* +X0000790900Y0001432148D01* +X0000787499Y0001432148D01* +X0000783899Y0001432148D01* +X0000780200Y0001432148D01* +X0000776299Y0001432148D01* +X0000772300Y0001432148D01* +X0000768099Y0001432148D01* +X0000763800Y0001432148D01* +X0000759299Y0001432148D01* +X0000754699Y0001432148D01* +X0000749900Y0001432148D01* +X0000744899Y0001432148D01* +X0000739699Y0001432148D01* +X0000734399Y0001432148D01* +X0000728900Y0001432148D01* +X0000723300Y0001432148D01* +X0000717399Y0001432148D01* +X0000711399Y0001432148D01* +X0000705099Y0001432148D01* +X0000698700Y0001432148D01* +X0000692099Y0001432148D01* +X0000685300Y0001432148D01* +X0000685199Y0001432148D01* +X0000678300Y0001432148D01* +X0000671799Y0001432148D01* +X0000665299Y0001432148D01* +X0000659100Y0001432148D01* +X0000652999Y0001432148D01* +X0000647100Y0001432148D01* +X0000641299Y0001432148D01* +X0000635799Y0001432148D01* +X0000630399Y0001432148D01* +X0000625199Y0001432148D01* +X0000620200Y0001432148D01* +X0000615399Y0001432148D01* +X0000610699Y0001432148D01* +X0000606099Y0001432148D01* +X0000601799Y0001432148D01* +X0000597599Y0001432148D01* +X0000593500Y0001432148D01* +X0000589600Y0001432148D01* +X0000585899Y0001432148D01* +X0000582200Y0001432148D01* +X0000578799Y0001432148D01* +X0000575500Y0001432148D01* +X0000572299Y0001432148D01* +X0000569200Y0001432148D01* +X0000566299Y0001432148D01* +X0000563500Y0001432148D01* +X0000560899Y0001432148D01* +X0000558300Y0001432148D01* +X0000555899Y0001432148D01* +X0000553600Y0001432148D01* +X0000551500Y0001432148D01* +X0000549399Y0001432148D01* +X0000547499Y0001432148D01* +X0000545599Y0001432148D01* +X0000543899Y0001432148D01* +X0000542299Y0001432148D01* +X0000540800Y0001432148D01* +X0000539400Y0001432148D01* +X0000537999Y0001432148D01* +X0000536799Y0001432148D01* +X0000535699Y0001432148D01* +X0000534600Y0001432148D01* +X0000533599Y0001432148D01* +X0000532799Y0001432248D01* +X0000531899Y0001432248D01* +X0000531200Y0001432248D01* +X0000530599Y0001432248D01* +X0000529999Y0001432248D01* +X0000529499Y0001432248D01* +X0000528999Y0001432248D01* +X0000528699Y0001432248D01* +X0000528300Y0001432248D01* +X0000528099Y0001432248D01* +X0000527899Y0001432248D01* +X0000527700Y0001432248D01* +X0000527599Y0001432248D01* +X0000527599Y0001432248D01* +X0000527599Y0001432248D01* +X0000527700Y0001432348D01* +X0000527899Y0001432548D01* +X0000528300Y0001432848D01* +X0000528800Y0001433248D01* +X0000529400Y0001433748D01* +X0000529999Y0001434248D01* +X0000530700Y0001434848D01* +X0000531500Y0001435448D01* +X0000532400Y0001436148D01* +X0000534300Y0001437748D01* +X0000536500Y0001439448D01* +X0000538699Y0001441248D01* +X0000541100Y0001443248D01* +X0000543600Y0001445248D01* +X0000546300Y0001447348D01* +X0000549000Y0001449648D01* +X0000551799Y0001451948D01* +X0000554700Y0001454348D01* +X0000557700Y0001456748D01* +X0000560799Y0001459248D01* +X0000564000Y0001461848D01* +X0000567200Y0001464548D01* +X0000570500Y0001467248D01* +X0000573800Y0001469948D01* +X0000577199Y0001472748D01* +X0000580600Y0001475448D01* +X0000583999Y0001478348D01* +X0000587400Y0001481148D01* +X0000590899Y0001483948D01* +X0000594399Y0001486848D01* +X0000597800Y0001489648D01* +X0000601199Y0001492548D01* +X0000604699Y0001495348D01* +X0000608100Y0001498148D01* +X0000611400Y0001500948D01* +X0000614799Y0001503748D01* +X0000618000Y0001506448D01* +X0000621300Y0001509148D01* +X0000622900Y0001510448D01* +X0000636300Y0001521648D01* +X0000635100Y0001522748D01* +X0000634699Y0001523148D01* +X0000634400Y0001523448D01* +X0000634100Y0001523748D01* +X0000633899Y0001523848D01* +X0000633800Y0001523948D01* +X0000633800Y0001523848D01* +X0000633500Y0001523748D01* +X0000633099Y0001523448D01* +X0000632700Y0001523148D01* +X0000632100Y0001522748D01* +X0000631400Y0001522348D01* +X0000630699Y0001521848D01* +X0000630399Y0001521548D01* +X0000629400Y0001520948D01* +X0000628300Y0001520148D01* +X0000627000Y0001519348D01* +X0000625699Y0001518448D01* +X0000624200Y0001517448D01* +X0000622699Y0001516448D01* +X0000621099Y0001515348D01* +X0000619499Y0001514248D01* +X0000617899Y0001513148D01* +X0000616199Y0001512148D01* +X0000614599Y0001511048D01* +X0000613000Y0001509948D01* +X0000611400Y0001508948D01* +X0000610600Y0001508348D01* +X0000609899Y0001507948D01* +X0000609200Y0001507448D01* +X0000608299Y0001506948D01* +X0000607400Y0001506348D01* +X0000606399Y0001505648D01* +X0000605400Y0001504948D01* +X0000604300Y0001504248D01* +X0000603200Y0001503548D01* +X0000602100Y0001502848D01* +X0000601000Y0001502148D01* +X0000599899Y0001501448D01* +X0000598900Y0001500748D01* +X0000597899Y0001500148D01* +X0000596899Y0001499548D01* +X0000596100Y0001498948D01* +X0000595300Y0001498448D01* +X0000594699Y0001498048D01* +X0000594200Y0001497648D01* +X0000593799Y0001497448D01* +X0000593500Y0001497248D01* +X0000593200Y0001497148D01* +X0000593500Y0001497348D01* +X0000593599Y0001497548D01* +X0000593700Y0001497648D01* +X0000593700Y0001497748D01* +X0000593599Y0001497848D01* +X0000593400Y0001498048D01* +X0000592999Y0001498348D01* +X0000592699Y0001498748D01* +X0000592199Y0001499148D01* +X0000591800Y0001499548D01* +X0000591300Y0001499948D01* +X0000590899Y0001500348D01* +X0000590500Y0001500748D01* +X0000590200Y0001500948D01* +X0000590200Y0001500948D01* +X0000589799Y0001501248D01* +X0000589499Y0001501548D01* +X0000589300Y0001501748D01* +X0000589199Y0001501848D01* +X0000589100Y0001502048D01* +X0000588899Y0001502348D01* +X0000588599Y0001502548D01* +X0000588300Y0001502748D01* +X0000588200Y0001502748D01* +X0000588000Y0001502748D01* +X0000587700Y0001502548D01* +X0000587700Y0001502448D01* +X0000587500Y0001502348D01* +X0000587200Y0001502248D01* +X0000586900Y0001502148D01* +X0000586400Y0001501948D01* +X0000585800Y0001501748D01* +X0000585500Y0001501648D01* +X0000584899Y0001501548D01* +X0000584200Y0001501348D01* +X0000583600Y0001501148D01* +X0000583100Y0001501048D01* +X0000582800Y0001500948D01* +X0000581799Y0001500648D01* +X0000580900Y0001500248D01* +X0000580400Y0001500048D01* +X0000579699Y0001499748D01* +X0000579200Y0001499448D01* +X0000579000Y0001499448D01* +X0000578500Y0001499248D01* +X0000577999Y0001498948D01* +X0000577400Y0001498748D01* +X0000576699Y0001498448D01* +X0000576200Y0001498148D01* +X0000575599Y0001497848D01* +X0000575100Y0001497548D01* +X0000574699Y0001497348D01* +X0000574499Y0001497248D01* +X0000574199Y0001497048D01* +X0000574000Y0001496848D01* +X0000573699Y0001496548D01* +X0000573699Y0001496448D01* +X0000573300Y0001496148D01* +X0000573099Y0001495848D01* +X0000572899Y0001495648D01* +X0000572799Y0001495348D01* +X0000572700Y0001495048D01* +X0000572700Y0001494648D01* +X0000572599Y0001494148D01* +X0000572599Y0001493648D01* +X0000572599Y0001493248D01* +X0000572599Y0001492848D01* +X0000572599Y0001492748D01* +X0000572799Y0001492548D01* +X0000573000Y0001492448D01* +X0000573500Y0001492348D01* +X0000574199Y0001492048D01* +X0000574900Y0001491748D01* +X0000575299Y0001491648D01* +X0000575700Y0001491448D01* +X0000576099Y0001491348D01* +X0000576500Y0001491248D01* +X0000576500Y0001491248D01* +X0000576800Y0001491248D01* +X0000577199Y0001491148D01* +X0000577600Y0001491148D01* +X0000577900Y0001491048D01* +X0000578500Y0001490948D01* +X0000579099Y0001490948D01* +X0000579599Y0001490948D01* +X0000580000Y0001491048D01* +X0000580499Y0001491148D01* +X0000580600Y0001491148D01* +X0000580999Y0001491248D01* +X0000581599Y0001491448D01* +X0000582200Y0001491548D01* +X0000582699Y0001491748D01* +X0000583600Y0001491948D01* +X0000584599Y0001492248D01* +X0000585699Y0001492648D01* +X0000586600Y0001493048D01* +X0000586799Y0001493148D01* +X0000587100Y0001493248D01* +X0000587299Y0001493348D01* +X0000587299Y0001493348D01* +X0000587299Y0001493348D01* +X0000587200Y0001493248D01* +X0000586999Y0001493048D01* +X0000586499Y0001492748D01* +X0000586000Y0001492448D01* +X0000585300Y0001491948D01* +X0000584400Y0001491448D01* +X0000583499Y0001490848D01* +X0000582399Y0001490148D01* +X0000581200Y0001489348D01* +X0000579899Y0001488548D01* +X0000578500Y0001487648D01* +X0000577000Y0001486648D01* +X0000575400Y0001485648D01* +X0000573800Y0001484548D01* +X0000571999Y0001483448D01* +X0000570200Y0001482348D01* +X0000568400Y0001481148D01* +X0000566400Y0001479948D01* +X0000564500Y0001478648D01* +X0000562499Y0001477348D01* +X0000560400Y0001476048D01* +X0000558399Y0001474748D01* +X0000556300Y0001473448D01* +X0000554200Y0001472148D01* +X0000552099Y0001470748D01* +X0000549999Y0001469448D01* +X0000547900Y0001468048D01* +X0000545799Y0001466748D01* +X0000543699Y0001465448D01* +X0000541699Y0001464148D01* +X0000539700Y0001462848D01* +X0000537699Y0001461648D01* +X0000535799Y0001460348D01* +X0000533899Y0001459148D01* +X0000532100Y0001458048D01* +X0000530299Y0001456948D01* +X0000528600Y0001455848D01* +X0000526999Y0001454848D01* +X0000525500Y0001453848D01* +X0000523999Y0001452948D01* +X0000522699Y0001452048D01* +X0000521500Y0001451348D01* +X0000520300Y0001450548D01* +X0000519300Y0001449948D01* +X0000518500Y0001449448D01* +X0000517699Y0001448948D01* +X0000517100Y0001448548D01* +X0000516599Y0001448248D01* +X0000516300Y0001448048D01* +X0000516099Y0001447948D01* +X0000516099Y0001447948D01* +X0000516099Y0001411148D01* +X0000517100Y0001411148D01* +X0000519300Y0001411148D01* +X0000521700Y0001411148D01* +X0000524200Y0001411148D01* +X0000526799Y0001411148D01* +X0000529499Y0001411148D01* +X0000532300Y0001411148D01* +X0000535300Y0001411148D01* +X0000538400Y0001411148D01* +X0000541600Y0001411148D01* +X0000544999Y0001411148D01* +X0000548400Y0001411148D01* +X0000552099Y0001411148D01* +X0000555800Y0001411148D01* +X0000559699Y0001411148D01* +X0000563700Y0001411148D01* +X0000567899Y0001411148D01* +X0000572299Y0001411148D01* +X0000576800Y0001411148D01* +X0000581400Y0001411148D01* +X0000586199Y0001411148D01* +X0000591200Y0001411148D01* +X0000596299Y0001411148D01* +X0000601600Y0001411148D01* +X0000607000Y0001411148D01* +X0000612599Y0001411148D01* +X0000618399Y0001411148D01* +X0000624399Y0001411148D01* +X0000630500Y0001411148D01* +X0000636899Y0001411048D01* +X0000643400Y0001411048D01* +X0000650100Y0001411048D01* +X0000656900Y0001411048D01* +X0000664000Y0001411048D01* +X0000671299Y0001411048D01* +X0000674499Y0001411048D01* +X0000680400Y0001411048D01* +X0000686499Y0001411048D01* +X0000692499Y0001411048D01* +X0000698400Y0001411048D01* +X0000704299Y0001411048D01* +X0000710100Y0001411048D01* +X0000715800Y0001411048D01* +X0000721499Y0001411048D01* +X0000727100Y0001411048D01* +X0000732700Y0001411048D01* +X0000738200Y0001411048D01* +X0000743599Y0001411048D01* +X0000748900Y0001411048D01* +X0000754199Y0001411048D01* +X0000759299Y0001411048D01* +X0000764400Y0001411048D01* +X0000769300Y0001411048D01* +X0000774200Y0001411148D01* +X0000778999Y0001411148D01* +X0000783700Y0001411148D01* +X0000788299Y0001411148D01* +X0000792700Y0001411148D01* +X0000797100Y0001411148D01* +X0000801399Y0001411148D01* +X0000805499Y0001411148D01* +X0000809499Y0001411148D01* +X0000813399Y0001411148D01* +X0000817199Y0001411148D01* +X0000820800Y0001411148D01* +X0000824299Y0001411148D01* +X0000827700Y0001411148D01* +X0000830900Y0001411148D01* +X0000834000Y0001411148D01* +X0000837000Y0001411148D01* +X0000839799Y0001411148D01* +X0000842400Y0001411148D01* +X0000844900Y0001411148D01* +X0000847300Y0001411148D01* +X0000849500Y0001411148D01* +X0000851499Y0001411148D01* +X0000853399Y0001411148D01* +X0000855000Y0001411148D01* +X0000856600Y0001411148D01* +X0000857900Y0001411148D01* +X0000859100Y0001411148D01* +X0000860100Y0001411148D01* +X0000860900Y0001411148D01* +X0000861500Y0001411148D01* +X0000862000Y0001411148D01* +X0000862199Y0001411148D01* +X0000862300Y0001411148D01* +X0000863700Y0001411348D01* +X0000865000Y0001411748D01* +X0000866299Y0001412348D01* +X0000867500Y0001413148D01* +X0000868600Y0001414048D01* +X0000869499Y0001415048D01* +X0000870299Y0001416248D01* +X0000870800Y0001417048D01* +X0000871199Y0001417948D01* +X0000871500Y0001418948D01* +X0000871699Y0001419848D01* +X0000871800Y0001420948D01* +X0000871800Y0001421548D01* +X0000871800Y0001422648D01* +X0000871600Y0001423648D01* +X0000871399Y0001424548D01* +X0000871100Y0001425448D01* +X0000870700Y0001426248D01* +X0000870599Y0001426348D01* +X0000870500Y0001426648D01* +X0000870200Y0001427148D01* +X0000869900Y0001427748D01* +X0000869400Y0001428448D01* +X0000868900Y0001429348D01* +X0000868300Y0001430448D01* +X0000867599Y0001431648D01* +X0000866799Y0001433048D01* +X0000865900Y0001434548D01* +X0000865000Y0001436248D01* +X0000864000Y0001437948D01* +X0000862900Y0001439948D01* +X0000861700Y0001441948D01* +X0000860400Y0001444148D01* +X0000859100Y0001446448D01* +X0000857700Y0001448848D01* +X0000856300Y0001451348D01* +X0000854700Y0001453948D01* +X0000853199Y0001456748D01* +X0000851499Y0001459548D01* +X0000849800Y0001462548D01* +X0000847999Y0001465548D01* +X0000846200Y0001468748D01* +X0000844399Y0001471948D01* +X0000842400Y0001475348D01* +X0000840500Y0001478748D01* +X0000838399Y0001482248D01* +X0000836400Y0001485848D01* +X0000834200Y0001489548D01* +X0000832100Y0001493248D01* +X0000829900Y0001497048D01* +X0000827700Y0001500948D01* +X0000825399Y0001504848D01* +X0000823100Y0001508948D01* +X0000820699Y0001512948D01* +X0000818299Y0001517048D01* +X0000815900Y0001521248D01* +X0000813500Y0001525448D01* +X0000811000Y0001529748D01* +X0000808600Y0001534048D01* +X0000806100Y0001538348D01* +X0000803499Y0001542748D01* +X0000801000Y0001547148D01* +X0000798399Y0001551648D01* +X0000795800Y0001556048D01* +X0000793199Y0001560448D01* +X0000790600Y0001564948D01* +X0000787999Y0001569548D01* +X0000785400Y0001574048D01* +X0000782799Y0001578548D01* +X0000780200Y0001583148D01* +X0000777500Y0001587748D01* +X0000774899Y0001592248D01* +X0000772300Y0001596848D01* +X0000769600Y0001601348D01* +X0000766999Y0001605948D01* +X0000764400Y0001610448D01* +X0000761799Y0001614948D01* +X0000759200Y0001619448D01* +X0000756599Y0001623948D01* +X0000754100Y0001628348D01* +X0000751499Y0001632748D01* +X0000748999Y0001637148D01* +X0000746499Y0001641548D01* +X0000744000Y0001645848D01* +X0000741500Y0001650148D01* +X0000739100Y0001654348D01* +X0000736699Y0001658548D01* +X0000734299Y0001662648D01* +X0000731900Y0001666748D01* +X0000729599Y0001670748D01* +X0000727300Y0001674648D01* +X0000725100Y0001678548D01* +X0000722900Y0001682348D01* +X0000720700Y0001686148D01* +X0000718600Y0001689848D01* +X0000716499Y0001693448D01* +X0000714500Y0001696948D01* +X0000712499Y0001700348D01* +X0000710499Y0001703748D01* +X0000708700Y0001706948D01* +X0000706800Y0001710148D01* +X0000705099Y0001713248D01* +X0000703300Y0001716148D01* +X0000701700Y0001719048D01* +X0000700100Y0001721848D01* +X0000698599Y0001724448D01* +X0000697100Y0001727048D01* +X0000695700Y0001729448D01* +X0000694300Y0001731748D01* +X0000693099Y0001733948D01* +X0000691900Y0001736048D01* +X0000690800Y0001737948D01* +X0000689700Y0001739748D01* +X0000688800Y0001741448D01* +X0000687899Y0001742948D01* +X0000687099Y0001744348D01* +X0000686400Y0001745548D01* +X0000685699Y0001746648D01* +X0000685199Y0001747648D01* +X0000684699Y0001748448D01* +X0000684300Y0001749048D01* +X0000684099Y0001749548D01* +X0000683900Y0001749848D01* +X0000683799Y0001749948D01* +X0000683799Y0001749948D01* +X0000682900Y0001751148D01* +X0000681899Y0001752148D01* +X0000680799Y0001752948D01* +X0000679600Y0001753748D01* +X0000678200Y0001754248D01* +X0000676900Y0001754648D01* +X0000676499Y0001754748D01* +X0000675899Y0001754748D01* +X0000675300Y0001754748D01* +X0000674700Y0001754748D01* +X0000673999Y0001754748D01* +X0000673499Y0001754748D01* +X0000673100Y0001754648D01* +X0000671700Y0001754248D01* +X0000670300Y0001753648D01* +X0000669099Y0001752948D01* +X0000667999Y0001752048D01* +X0000667000Y0001751048D01* +X0000666200Y0001750048D01* +X0000666099Y0001749848D01* +X0000666000Y0001749548D01* +X0000665700Y0001749148D01* +X0000665400Y0001748548D01* +X0000664899Y0001747748D01* +X0000664400Y0001746848D01* +X0000663800Y0001745748D01* +X0000663099Y0001744548D01* +X0000662299Y0001743148D01* +X0000661400Y0001741648D01* +X0000660399Y0001740048D01* +X0000659400Y0001738248D01* +X0000658300Y0001736348D01* +X0000657099Y0001734248D01* +X0000655899Y0001732148D01* +X0000654500Y0001729848D01* +X0000653100Y0001727448D01* +X0000651699Y0001724848D01* +X0000650200Y0001722248D01* +X0000648599Y0001719448D01* +X0000646900Y0001716648D01* +X0000645200Y0001713648D01* +X0000643400Y0001710648D01* +X0000641599Y0001707448D01* +X0000639699Y0001704248D01* +X0000637799Y0001700848D01* +X0000635799Y0001697448D01* +X0000633800Y0001693948D01* +X0000631699Y0001690348D01* +X0000629599Y0001686648D01* +X0000627500Y0001682948D01* +X0000625300Y0001679148D01* +X0000622999Y0001675248D01* +X0000620700Y0001671348D01* +X0000618399Y0001667348D01* +X0000616100Y0001663248D01* +X0000613699Y0001659148D01* +X0000611299Y0001654948D01* +X0000608899Y0001650748D01* +X0000606399Y0001646448D01* +X0000603899Y0001642148D01* +X0000601400Y0001637848D01* +X0000598900Y0001633448D01* +X0000596299Y0001629048D01* +X0000593799Y0001624548D01* +X0000591200Y0001620148D01* +X0000588599Y0001615648D01* +X0000586000Y0001611148D01* +X0000583400Y0001606548D01* +X0000580799Y0001602048D01* +X0000578100Y0001597548D01* +X0000575500Y0001592948D01* +X0000572899Y0001588348D01* +X0000570200Y0001583848D01* +X0000567599Y0001579248D01* +X0000565000Y0001574748D01* +X0000562400Y0001570148D01* +X0000559699Y0001565648D01* +X0000557100Y0001561148D01* +X0000554500Y0001556748D01* +X0000552000Y0001552248D01* +X0000549399Y0001547848D01* +X0000546899Y0001543448D01* +X0000544300Y0001539048D01* +X0000541799Y0001534648D01* +X0000539299Y0001530348D01* +X0000536899Y0001526048D01* +X0000534399Y0001521848D01* +X0000531999Y0001517648D01* +X0000529600Y0001513548D01* +X0000527299Y0001509448D01* +X0000525000Y0001505448D01* +X0000522699Y0001501548D01* +X0000520400Y0001497648D01* +X0000518200Y0001493848D01* +X0000516099Y0001490048D01* +X0000513899Y0001486348D01* +X0000511900Y0001482748D01* +X0000509799Y0001479248D01* +X0000507899Y0001475848D01* +X0000505900Y0001472448D01* +X0000504000Y0001469248D01* +X0000502199Y0001466048D01* +X0000500400Y0001462948D01* +X0000498699Y0001460048D01* +X0000497099Y0001457148D01* +X0000495500Y0001454348D01* +X0000493999Y0001451748D01* +X0000492500Y0001449148D01* +X0000491099Y0001446748D01* +X0000489800Y0001444448D01* +X0000488500Y0001442248D01* +X0000487300Y0001440248D01* +X0000486200Y0001438248D01* +X0000485200Y0001436448D01* +X0000484199Y0001434848D01* +X0000483300Y0001433248D01* +X0000482500Y0001431848D01* +X0000481799Y0001430648D01* +X0000481199Y0001429548D01* +X0000480600Y0001428648D01* +X0000480200Y0001427848D01* +X0000479799Y0001427148D01* +X0000479500Y0001426748D01* +X0000479400Y0001426348D01* +X0000479299Y0001426248D01* +X0000479299Y0001426248D01* +X0000478799Y0001425248D01* +X0000478499Y0001424348D01* +X0000478300Y0001423448D01* +X0000478199Y0001422448D01* +X0000478199Y0001421548D01* +X0000478199Y0001421548D01* +G36* +X0000652000Y0001496148D02* +X0000652100Y0001496048D01* +X0000652400Y0001496048D01* +X0000652899Y0001495948D01* +X0000653499Y0001495848D01* +X0000654300Y0001495648D01* +X0000655199Y0001495548D01* +X0000656200Y0001495348D01* +X0000657399Y0001495148D01* +X0000658699Y0001494948D01* +X0000660099Y0001494648D01* +X0000661600Y0001494348D01* +X0000663200Y0001494148D01* +X0000664899Y0001493848D01* +X0000666599Y0001493548D01* +X0000668400Y0001493148D01* +X0000669200Y0001493048D01* +X0000686200Y0001490048D01* +X0000697699Y0001482048D01* +X0000699000Y0001481048D01* +X0000700300Y0001480148D01* +X0000701599Y0001479348D01* +X0000702800Y0001478448D01* +X0000703900Y0001477748D01* +X0000704900Y0001477048D01* +X0000705800Y0001476348D01* +X0000706699Y0001475748D01* +X0000707400Y0001475248D01* +X0000708000Y0001474848D01* +X0000708500Y0001474448D01* +X0000708899Y0001474248D01* +X0000709100Y0001474048D01* +X0000709100Y0001474048D01* +X0000709000Y0001474048D01* +X0000708700Y0001473948D01* +X0000708300Y0001473848D01* +X0000707700Y0001473748D01* +X0000706900Y0001473548D01* +X0000706000Y0001473348D01* +X0000705000Y0001473148D01* +X0000703800Y0001472948D01* +X0000702500Y0001472648D01* +X0000701199Y0001472448D01* +X0000699700Y0001472148D01* +X0000698199Y0001471748D01* +X0000696599Y0001471448D01* +X0000694900Y0001471148D01* +X0000694300Y0001470948D01* +X0000679400Y0001467948D01* +X0000665900Y0001458348D01* +X0000663899Y0001456848D01* +X0000662100Y0001455548D01* +X0000660399Y0001454348D01* +X0000658899Y0001453348D01* +X0000657500Y0001452348D01* +X0000656299Y0001451448D01* +X0000655300Y0001450748D01* +X0000654399Y0001450148D01* +X0000653700Y0001449548D01* +X0000653100Y0001449148D01* +X0000652699Y0001448848D01* +X0000652400Y0001448648D01* +X0000652300Y0001448548D01* +X0000652300Y0001448548D01* +X0000652400Y0001448448D01* +X0000652600Y0001448148D01* +X0000652799Y0001447848D01* +X0000653200Y0001447348D01* +X0000653599Y0001446748D01* +X0000654000Y0001446048D01* +X0000654500Y0001445348D01* +X0000655000Y0001444648D01* +X0000655600Y0001443848D01* +X0000656200Y0001443048D01* +X0000656700Y0001442248D01* +X0000657300Y0001441548D01* +X0000657800Y0001440748D01* +X0000658300Y0001440148D01* +X0000658699Y0001439548D01* +X0000659100Y0001439048D01* +X0000659299Y0001438648D01* +X0000659599Y0001438348D01* +X0000659700Y0001438148D01* +X0000659700Y0001438148D01* +X0000659799Y0001438248D01* +X0000660000Y0001438348D01* +X0000660399Y0001438648D01* +X0000660899Y0001438948D01* +X0000661499Y0001439348D01* +X0000662200Y0001439848D01* +X0000663000Y0001440348D01* +X0000663800Y0001440848D01* +X0000664699Y0001441448D01* +X0000665100Y0001441748D01* +X0000666000Y0001442348D01* +X0000667000Y0001443048D01* +X0000668200Y0001443848D01* +X0000669399Y0001444648D01* +X0000670800Y0001445548D01* +X0000672099Y0001446448D01* +X0000673499Y0001447348D01* +X0000674900Y0001448348D01* +X0000676199Y0001449248D01* +X0000677499Y0001450148D01* +X0000678300Y0001450648D01* +X0000686200Y0001455948D01* +X0000707900Y0001458548D01* +X0000709900Y0001458748D01* +X0000711899Y0001459048D01* +X0000713900Y0001459248D01* +X0000715699Y0001459448D01* +X0000717599Y0001459648D01* +X0000719299Y0001459848D01* +X0000720899Y0001460048D01* +X0000722499Y0001460248D01* +X0000723899Y0001460448D01* +X0000725200Y0001460648D01* +X0000726399Y0001460748D01* +X0000727399Y0001460848D01* +X0000728299Y0001460948D01* +X0000729000Y0001461048D01* +X0000729599Y0001461148D01* +X0000730000Y0001461248D01* +X0000730199Y0001461248D01* +X0000730199Y0001461248D01* +X0000731399Y0001461548D01* +X0000732700Y0001461948D01* +X0000734100Y0001462448D01* +X0000735599Y0001463048D01* +X0000737100Y0001463748D01* +X0000738599Y0001464448D01* +X0000740100Y0001465248D01* +X0000741599Y0001466048D01* +X0000742999Y0001466848D01* +X0000744300Y0001467748D01* +X0000745300Y0001468548D01* +X0000745399Y0001468648D01* +X0000745499Y0001468648D01* +X0000745600Y0001468748D01* +X0000745800Y0001468948D01* +X0000745900Y0001469048D01* +X0000746100Y0001469248D01* +X0000746299Y0001469448D01* +X0000746499Y0001469648D01* +X0000746799Y0001469948D01* +X0000747099Y0001470248D01* +X0000747399Y0001470648D01* +X0000747800Y0001471148D01* +X0000748300Y0001471648D01* +X0000748800Y0001472248D01* +X0000749299Y0001472848D01* +X0000750000Y0001473648D01* +X0000750700Y0001474448D01* +X0000751399Y0001475348D01* +X0000752299Y0001476348D01* +X0000753200Y0001477548D01* +X0000754300Y0001478748D01* +X0000755400Y0001480048D01* +X0000756599Y0001481548D01* +X0000757999Y0001483048D01* +X0000759399Y0001484748D01* +X0000760900Y0001486548D01* +X0000762599Y0001488548D01* +X0000764400Y0001490648D01* +X0000765500Y0001491948D01* +X0000766100Y0001492748D01* +X0000766800Y0001493448D01* +X0000767299Y0001494148D01* +X0000767799Y0001494748D01* +X0000768299Y0001495248D01* +X0000768700Y0001495748D01* +X0000768899Y0001496048D01* +X0000769100Y0001496148D01* +X0000769100Y0001496248D01* +X0000769199Y0001496148D01* +X0000769399Y0001495948D01* +X0000769800Y0001495648D01* +X0000770200Y0001495248D01* +X0000770799Y0001494748D01* +X0000771500Y0001494248D01* +X0000772199Y0001493548D01* +X0000772999Y0001492948D01* +X0000773799Y0001492148D01* +X0000774599Y0001491448D01* +X0000780099Y0001486648D01* +X0000780099Y0001474348D01* +X0000780099Y0001462048D01* +X0000783700Y0001462148D01* +X0000784699Y0001462148D01* +X0000785700Y0001462148D01* +X0000786800Y0001462148D01* +X0000787800Y0001462148D01* +X0000788700Y0001462248D01* +X0000789599Y0001462248D01* +X0000789899Y0001462248D01* +X0000792500Y0001462348D01* +X0000792500Y0001477648D01* +X0000792500Y0001492948D01* +X0000782900Y0001502648D01* +X0000773400Y0001512348D01* +X0000767900Y0001512348D01* +X0000762399Y0001512348D01* +X0000761100Y0001511548D01* +X0000759800Y0001510948D01* +X0000758599Y0001510448D01* +X0000757399Y0001510048D01* +X0000756299Y0001509748D01* +X0000755200Y0001509648D01* +X0000754100Y0001509748D01* +X0000752900Y0001509948D01* +X0000751699Y0001510248D01* +X0000750399Y0001510748D01* +X0000748999Y0001511348D01* +X0000748199Y0001511748D01* +X0000747099Y0001512348D01* +X0000736100Y0001512348D01* +X0000725200Y0001512348D01* +X0000715399Y0001520048D01* +X0000714000Y0001521248D01* +X0000712699Y0001522248D01* +X0000711599Y0001523148D01* +X0000710599Y0001523948D01* +X0000709699Y0001524648D01* +X0000708899Y0001525248D01* +X0000708200Y0001525748D01* +X0000707599Y0001526248D01* +X0000707199Y0001526548D01* +X0000706800Y0001526848D01* +X0000706399Y0001527148D01* +X0000706199Y0001527348D01* +X0000706000Y0001527448D01* +X0000705800Y0001527548D01* +X0000705700Y0001527648D01* +X0000705599Y0001527648D01* +X0000705599Y0001527648D01* +X0000705500Y0001527648D01* +X0000705500Y0001527648D01* +X0000705399Y0001527548D01* +X0000705200Y0001527248D01* +X0000704900Y0001526848D01* +X0000704499Y0001526348D01* +X0000703999Y0001525848D01* +X0000703499Y0001525148D01* +X0000702899Y0001524448D01* +X0000702300Y0001523648D01* +X0000701799Y0001523048D01* +X0000701199Y0001522248D01* +X0000700600Y0001521448D01* +X0000700000Y0001520748D01* +X0000699500Y0001520148D01* +X0000699099Y0001519648D01* +X0000698700Y0001519148D01* +X0000698499Y0001518848D01* +X0000698299Y0001518648D01* +X0000698299Y0001518648D01* +X0000698299Y0001518548D01* +X0000698599Y0001518348D01* +X0000698900Y0001518048D01* +X0000699399Y0001517648D01* +X0000700000Y0001517148D01* +X0000700699Y0001516548D01* +X0000701499Y0001515848D01* +X0000702399Y0001515148D01* +X0000703399Y0001514248D01* +X0000704400Y0001513448D01* +X0000705599Y0001512448D01* +X0000706800Y0001511448D01* +X0000708000Y0001510448D01* +X0000709300Y0001509348D01* +X0000709600Y0001509148D01* +X0000720899Y0001499748D01* +X0000724900Y0001499648D01* +X0000726000Y0001499648D01* +X0000727199Y0001499648D01* +X0000728499Y0001499648D01* +X0000729800Y0001499548D01* +X0000730999Y0001499548D01* +X0000732200Y0001499548D01* +X0000732700Y0001499548D01* +X0000736600Y0001499448D01* +X0000729200Y0001491848D01* +X0000727900Y0001490448D01* +X0000726599Y0001489148D01* +X0000725599Y0001488048D01* +X0000724600Y0001487048D01* +X0000723800Y0001486248D01* +X0000723099Y0001485548D01* +X0000722599Y0001484948D01* +X0000722100Y0001484548D01* +X0000721900Y0001484348D01* +X0000721699Y0001484248D01* +X0000721699Y0001484248D01* +X0000721600Y0001484248D01* +X0000721300Y0001484448D01* +X0000720899Y0001484648D01* +X0000720399Y0001485048D01* +X0000719700Y0001485448D01* +X0000718900Y0001485948D01* +X0000718000Y0001486548D01* +X0000717000Y0001487148D01* +X0000715900Y0001487848D01* +X0000714700Y0001488648D01* +X0000713400Y0001489448D01* +X0000712000Y0001490248D01* +X0000710599Y0001491148D01* +X0000709100Y0001492048D01* +X0000707599Y0001493048D01* +X0000707400Y0001493148D01* +X0000693099Y0001502048D01* +X0000673499Y0001505948D01* +X0000671599Y0001506348D01* +X0000669699Y0001506648D01* +X0000667899Y0001507048D01* +X0000666099Y0001507348D01* +X0000664400Y0001507748D01* +X0000662900Y0001508048D01* +X0000661400Y0001508348D01* +X0000660000Y0001508548D01* +X0000658699Y0001508848D01* +X0000657599Y0001509048D01* +X0000656499Y0001509248D01* +X0000655699Y0001509448D01* +X0000655000Y0001509548D01* +X0000654399Y0001509648D01* +X0000654000Y0001509748D01* +X0000653799Y0001509748D01* +X0000653799Y0001509748D01* +X0000653700Y0001509648D01* +X0000653700Y0001509348D01* +X0000653599Y0001508948D01* +X0000653599Y0001508348D01* +X0000653499Y0001507648D01* +X0000653400Y0001506848D01* +X0000653299Y0001506048D01* +X0000653100Y0001505048D01* +X0000652999Y0001504148D01* +X0000652899Y0001503148D01* +X0000652799Y0001502148D01* +X0000652600Y0001501148D01* +X0000652499Y0001500148D01* +X0000652400Y0001499248D01* +X0000652300Y0001498448D01* +X0000652199Y0001497748D01* +X0000652100Y0001497148D01* +X0000652100Y0001496648D01* +X0000652100Y0001496248D01* +X0000652000Y0001496148D01* +X0000652000Y0001496148D01* +X0000652000Y0001496148D01* +X0000652000Y0001496148D01* +G37* +X0000652000Y0001496148D02* +X0000652100Y0001496048D01* +X0000652400Y0001496048D01* +X0000652899Y0001495948D01* +X0000653499Y0001495848D01* +X0000654300Y0001495648D01* +X0000655199Y0001495548D01* +X0000656200Y0001495348D01* +X0000657399Y0001495148D01* +X0000658699Y0001494948D01* +X0000660099Y0001494648D01* +X0000661600Y0001494348D01* +X0000663200Y0001494148D01* +X0000664899Y0001493848D01* +X0000666599Y0001493548D01* +X0000668400Y0001493148D01* +X0000669200Y0001493048D01* +X0000686200Y0001490048D01* +X0000697699Y0001482048D01* +X0000699000Y0001481048D01* +X0000700300Y0001480148D01* +X0000701599Y0001479348D01* +X0000702800Y0001478448D01* +X0000703900Y0001477748D01* +X0000704900Y0001477048D01* +X0000705800Y0001476348D01* +X0000706699Y0001475748D01* +X0000707400Y0001475248D01* +X0000708000Y0001474848D01* +X0000708500Y0001474448D01* +X0000708899Y0001474248D01* +X0000709100Y0001474048D01* +X0000709100Y0001474048D01* +X0000709000Y0001474048D01* +X0000708700Y0001473948D01* +X0000708300Y0001473848D01* +X0000707700Y0001473748D01* +X0000706900Y0001473548D01* +X0000706000Y0001473348D01* +X0000705000Y0001473148D01* +X0000703800Y0001472948D01* +X0000702500Y0001472648D01* +X0000701199Y0001472448D01* +X0000699700Y0001472148D01* +X0000698199Y0001471748D01* +X0000696599Y0001471448D01* +X0000694900Y0001471148D01* +X0000694300Y0001470948D01* +X0000679400Y0001467948D01* +X0000665900Y0001458348D01* +X0000663899Y0001456848D01* +X0000662100Y0001455548D01* +X0000660399Y0001454348D01* +X0000658899Y0001453348D01* +X0000657500Y0001452348D01* +X0000656299Y0001451448D01* +X0000655300Y0001450748D01* +X0000654399Y0001450148D01* +X0000653700Y0001449548D01* +X0000653100Y0001449148D01* +X0000652699Y0001448848D01* +X0000652400Y0001448648D01* +X0000652300Y0001448548D01* +X0000652300Y0001448548D01* +X0000652400Y0001448448D01* +X0000652600Y0001448148D01* +X0000652799Y0001447848D01* +X0000653200Y0001447348D01* +X0000653599Y0001446748D01* +X0000654000Y0001446048D01* +X0000654500Y0001445348D01* +X0000655000Y0001444648D01* +X0000655600Y0001443848D01* +X0000656200Y0001443048D01* +X0000656700Y0001442248D01* +X0000657300Y0001441548D01* +X0000657800Y0001440748D01* +X0000658300Y0001440148D01* +X0000658699Y0001439548D01* +X0000659100Y0001439048D01* +X0000659299Y0001438648D01* +X0000659599Y0001438348D01* +X0000659700Y0001438148D01* +X0000659700Y0001438148D01* +X0000659799Y0001438248D01* +X0000660000Y0001438348D01* +X0000660399Y0001438648D01* +X0000660899Y0001438948D01* +X0000661499Y0001439348D01* +X0000662200Y0001439848D01* +X0000663000Y0001440348D01* +X0000663800Y0001440848D01* +X0000664699Y0001441448D01* +X0000665100Y0001441748D01* +X0000666000Y0001442348D01* +X0000667000Y0001443048D01* +X0000668200Y0001443848D01* +X0000669399Y0001444648D01* +X0000670800Y0001445548D01* +X0000672099Y0001446448D01* +X0000673499Y0001447348D01* +X0000674900Y0001448348D01* +X0000676199Y0001449248D01* +X0000677499Y0001450148D01* +X0000678300Y0001450648D01* +X0000686200Y0001455948D01* +X0000707900Y0001458548D01* +X0000709900Y0001458748D01* +X0000711899Y0001459048D01* +X0000713900Y0001459248D01* +X0000715699Y0001459448D01* +X0000717599Y0001459648D01* +X0000719299Y0001459848D01* +X0000720899Y0001460048D01* +X0000722499Y0001460248D01* +X0000723899Y0001460448D01* +X0000725200Y0001460648D01* +X0000726399Y0001460748D01* +X0000727399Y0001460848D01* +X0000728299Y0001460948D01* +X0000729000Y0001461048D01* +X0000729599Y0001461148D01* +X0000730000Y0001461248D01* +X0000730199Y0001461248D01* +X0000730199Y0001461248D01* +X0000731399Y0001461548D01* +X0000732700Y0001461948D01* +X0000734100Y0001462448D01* +X0000735599Y0001463048D01* +X0000737100Y0001463748D01* +X0000738599Y0001464448D01* +X0000740100Y0001465248D01* +X0000741599Y0001466048D01* +X0000742999Y0001466848D01* +X0000744300Y0001467748D01* +X0000745300Y0001468548D01* +X0000745399Y0001468648D01* +X0000745499Y0001468648D01* +X0000745600Y0001468748D01* +X0000745800Y0001468948D01* +X0000745900Y0001469048D01* +X0000746100Y0001469248D01* +X0000746299Y0001469448D01* +X0000746499Y0001469648D01* +X0000746799Y0001469948D01* +X0000747099Y0001470248D01* +X0000747399Y0001470648D01* +X0000747800Y0001471148D01* +X0000748300Y0001471648D01* +X0000748800Y0001472248D01* +X0000749299Y0001472848D01* +X0000750000Y0001473648D01* +X0000750700Y0001474448D01* +X0000751399Y0001475348D01* +X0000752299Y0001476348D01* +X0000753200Y0001477548D01* +X0000754300Y0001478748D01* +X0000755400Y0001480048D01* +X0000756599Y0001481548D01* +X0000757999Y0001483048D01* +X0000759399Y0001484748D01* +X0000760900Y0001486548D01* +X0000762599Y0001488548D01* +X0000764400Y0001490648D01* +X0000765500Y0001491948D01* +X0000766100Y0001492748D01* +X0000766800Y0001493448D01* +X0000767299Y0001494148D01* +X0000767799Y0001494748D01* +X0000768299Y0001495248D01* +X0000768700Y0001495748D01* +X0000768899Y0001496048D01* +X0000769100Y0001496148D01* +X0000769100Y0001496248D01* +X0000769199Y0001496148D01* +X0000769399Y0001495948D01* +X0000769800Y0001495648D01* +X0000770200Y0001495248D01* +X0000770799Y0001494748D01* +X0000771500Y0001494248D01* +X0000772199Y0001493548D01* +X0000772999Y0001492948D01* +X0000773799Y0001492148D01* +X0000774599Y0001491448D01* +X0000780099Y0001486648D01* +X0000780099Y0001474348D01* +X0000780099Y0001462048D01* +X0000783700Y0001462148D01* +X0000784699Y0001462148D01* +X0000785700Y0001462148D01* +X0000786800Y0001462148D01* +X0000787800Y0001462148D01* +X0000788700Y0001462248D01* +X0000789599Y0001462248D01* +X0000789899Y0001462248D01* +X0000792500Y0001462348D01* +X0000792500Y0001477648D01* +X0000792500Y0001492948D01* +X0000782900Y0001502648D01* +X0000773400Y0001512348D01* +X0000767900Y0001512348D01* +X0000762399Y0001512348D01* +X0000761100Y0001511548D01* +X0000759800Y0001510948D01* +X0000758599Y0001510448D01* +X0000757399Y0001510048D01* +X0000756299Y0001509748D01* +X0000755200Y0001509648D01* +X0000754100Y0001509748D01* +X0000752900Y0001509948D01* +X0000751699Y0001510248D01* +X0000750399Y0001510748D01* +X0000748999Y0001511348D01* +X0000748199Y0001511748D01* +X0000747099Y0001512348D01* +X0000736100Y0001512348D01* +X0000725200Y0001512348D01* +X0000715399Y0001520048D01* +X0000714000Y0001521248D01* +X0000712699Y0001522248D01* +X0000711599Y0001523148D01* +X0000710599Y0001523948D01* +X0000709699Y0001524648D01* +X0000708899Y0001525248D01* +X0000708200Y0001525748D01* +X0000707599Y0001526248D01* +X0000707199Y0001526548D01* +X0000706800Y0001526848D01* +X0000706399Y0001527148D01* +X0000706199Y0001527348D01* +X0000706000Y0001527448D01* +X0000705800Y0001527548D01* +X0000705700Y0001527648D01* +X0000705599Y0001527648D01* +X0000705599Y0001527648D01* +X0000705500Y0001527648D01* +X0000705500Y0001527648D01* +X0000705399Y0001527548D01* +X0000705200Y0001527248D01* +X0000704900Y0001526848D01* +X0000704499Y0001526348D01* +X0000703999Y0001525848D01* +X0000703499Y0001525148D01* +X0000702899Y0001524448D01* +X0000702300Y0001523648D01* +X0000701799Y0001523048D01* +X0000701199Y0001522248D01* +X0000700600Y0001521448D01* +X0000700000Y0001520748D01* +X0000699500Y0001520148D01* +X0000699099Y0001519648D01* +X0000698700Y0001519148D01* +X0000698499Y0001518848D01* +X0000698299Y0001518648D01* +X0000698299Y0001518648D01* +X0000698299Y0001518548D01* +X0000698599Y0001518348D01* +X0000698900Y0001518048D01* +X0000699399Y0001517648D01* +X0000700000Y0001517148D01* +X0000700699Y0001516548D01* +X0000701499Y0001515848D01* +X0000702399Y0001515148D01* +X0000703399Y0001514248D01* +X0000704400Y0001513448D01* +X0000705599Y0001512448D01* +X0000706800Y0001511448D01* +X0000708000Y0001510448D01* +X0000709300Y0001509348D01* +X0000709600Y0001509148D01* +X0000720899Y0001499748D01* +X0000724900Y0001499648D01* +X0000726000Y0001499648D01* +X0000727199Y0001499648D01* +X0000728499Y0001499648D01* +X0000729800Y0001499548D01* +X0000730999Y0001499548D01* +X0000732200Y0001499548D01* +X0000732700Y0001499548D01* +X0000736600Y0001499448D01* +X0000729200Y0001491848D01* +X0000727900Y0001490448D01* +X0000726599Y0001489148D01* +X0000725599Y0001488048D01* +X0000724600Y0001487048D01* +X0000723800Y0001486248D01* +X0000723099Y0001485548D01* +X0000722599Y0001484948D01* +X0000722100Y0001484548D01* +X0000721900Y0001484348D01* +X0000721699Y0001484248D01* +X0000721699Y0001484248D01* +X0000721600Y0001484248D01* +X0000721300Y0001484448D01* +X0000720899Y0001484648D01* +X0000720399Y0001485048D01* +X0000719700Y0001485448D01* +X0000718900Y0001485948D01* +X0000718000Y0001486548D01* +X0000717000Y0001487148D01* +X0000715900Y0001487848D01* +X0000714700Y0001488648D01* +X0000713400Y0001489448D01* +X0000712000Y0001490248D01* +X0000710599Y0001491148D01* +X0000709100Y0001492048D01* +X0000707599Y0001493048D01* +X0000707400Y0001493148D01* +X0000693099Y0001502048D01* +X0000673499Y0001505948D01* +X0000671599Y0001506348D01* +X0000669699Y0001506648D01* +X0000667899Y0001507048D01* +X0000666099Y0001507348D01* +X0000664400Y0001507748D01* +X0000662900Y0001508048D01* +X0000661400Y0001508348D01* +X0000660000Y0001508548D01* +X0000658699Y0001508848D01* +X0000657599Y0001509048D01* +X0000656499Y0001509248D01* +X0000655699Y0001509448D01* +X0000655000Y0001509548D01* +X0000654399Y0001509648D01* +X0000654000Y0001509748D01* +X0000653799Y0001509748D01* +X0000653799Y0001509748D01* +X0000653700Y0001509648D01* +X0000653700Y0001509348D01* +X0000653599Y0001508948D01* +X0000653599Y0001508348D01* +X0000653499Y0001507648D01* +X0000653400Y0001506848D01* +X0000653299Y0001506048D01* +X0000653100Y0001505048D01* +X0000652999Y0001504148D01* +X0000652899Y0001503148D01* +X0000652799Y0001502148D01* +X0000652600Y0001501148D01* +X0000652499Y0001500148D01* +X0000652400Y0001499248D01* +X0000652300Y0001498448D01* +X0000652199Y0001497748D01* +X0000652100Y0001497148D01* +X0000652100Y0001496648D01* +X0000652100Y0001496248D01* +X0000652000Y0001496148D01* +X0000652000Y0001496148D01* +X0000652000Y0001496148D01* +G36* +X0000541499Y0001475648D02* +X0000541499Y0001475348D01* +X0000541799Y0001475148D01* +X0000542100Y0001474948D01* +X0000542400Y0001474748D01* +X0000542899Y0001474648D01* +X0000543300Y0001474548D01* +X0000543999Y0001474448D01* +X0000544499Y0001474348D01* +X0000546300Y0001474348D01* +X0000547600Y0001474348D01* +X0000548400Y0001474448D01* +X0000549300Y0001474548D01* +X0000550100Y0001474748D01* +X0000550900Y0001474948D01* +X0000551599Y0001475248D01* +X0000552000Y0001475448D01* +X0000552500Y0001475748D01* +X0000552899Y0001476048D01* +X0000553199Y0001476548D01* +X0000553300Y0001477048D01* +X0000553300Y0001477548D01* +X0000553300Y0001477948D01* +X0000553300Y0001478548D01* +X0000553300Y0001479148D01* +X0000553300Y0001479248D01* +X0000553300Y0001479648D01* +X0000553300Y0001479948D01* +X0000553199Y0001480148D01* +X0000553199Y0001480248D01* +X0000553100Y0001480248D01* +X0000552800Y0001480248D01* +X0000552300Y0001480148D01* +X0000551700Y0001480148D01* +X0000551700Y0001480148D01* +X0000550999Y0001480048D01* +X0000550400Y0001479948D01* +X0000549899Y0001479948D01* +X0000549699Y0001479948D01* +X0000549200Y0001480048D01* +X0000548899Y0001480048D01* +X0000548500Y0001479948D01* +X0000548200Y0001479848D01* +X0000547699Y0001479748D01* +X0000547199Y0001479548D01* +X0000546600Y0001479248D01* +X0000546000Y0001479048D01* +X0000545400Y0001478748D01* +X0000544699Y0001478448D01* +X0000544100Y0001478148D01* +X0000543500Y0001477848D01* +X0000543000Y0001477548D01* +X0000542599Y0001477348D01* +X0000542299Y0001477248D01* +X0000542200Y0001477148D01* +X0000542100Y0001476948D01* +X0000541900Y0001476748D01* +X0000541799Y0001476448D01* +X0000541600Y0001475948D01* +X0000541499Y0001475648D01* +X0000541499Y0001475648D01* +X0000541499Y0001475648D01* +G37* +X0000541499Y0001475648D02* +X0000541499Y0001475348D01* +X0000541799Y0001475148D01* +X0000542100Y0001474948D01* +X0000542400Y0001474748D01* +X0000542899Y0001474648D01* +X0000543300Y0001474548D01* +X0000543999Y0001474448D01* +X0000544499Y0001474348D01* +X0000546300Y0001474348D01* +X0000547600Y0001474348D01* +X0000548400Y0001474448D01* +X0000549300Y0001474548D01* +X0000550100Y0001474748D01* +X0000550900Y0001474948D01* +X0000551599Y0001475248D01* +X0000552000Y0001475448D01* +X0000552500Y0001475748D01* +X0000552899Y0001476048D01* +X0000553199Y0001476548D01* +X0000553300Y0001477048D01* +X0000553300Y0001477548D01* +X0000553300Y0001477948D01* +X0000553300Y0001478548D01* +X0000553300Y0001479148D01* +X0000553300Y0001479248D01* +X0000553300Y0001479648D01* +X0000553300Y0001479948D01* +X0000553199Y0001480148D01* +X0000553199Y0001480248D01* +X0000553100Y0001480248D01* +X0000552800Y0001480248D01* +X0000552300Y0001480148D01* +X0000551700Y0001480148D01* +X0000551700Y0001480148D01* +X0000550999Y0001480048D01* +X0000550400Y0001479948D01* +X0000549899Y0001479948D01* +X0000549699Y0001479948D01* +X0000549200Y0001480048D01* +X0000548899Y0001480048D01* +X0000548500Y0001479948D01* +X0000548200Y0001479848D01* +X0000547699Y0001479748D01* +X0000547199Y0001479548D01* +X0000546600Y0001479248D01* +X0000546000Y0001479048D01* +X0000545400Y0001478748D01* +X0000544699Y0001478448D01* +X0000544100Y0001478148D01* +X0000543500Y0001477848D01* +X0000543000Y0001477548D01* +X0000542599Y0001477348D01* +X0000542299Y0001477248D01* +X0000542200Y0001477148D01* +X0000542100Y0001476948D01* +X0000541900Y0001476748D01* +X0000541799Y0001476448D01* +X0000541600Y0001475948D01* +X0000541499Y0001475648D01* +X0000541499Y0001475648D01* +G36* +X0000742100Y0001524848D02* +X0000742199Y0001523348D01* +X0000742499Y0001521848D01* +X0000742900Y0001520448D01* +X0000743499Y0001519048D01* +X0000744300Y0001517748D01* +X0000745199Y0001516548D01* +X0000745900Y0001515848D01* +X0000747099Y0001514748D01* +X0000748300Y0001513848D01* +X0000749700Y0001513148D01* +X0000751100Y0001512648D01* +X0000752100Y0001512348D01* +X0000752499Y0001512248D01* +X0000753000Y0001512248D01* +X0000753700Y0001512148D01* +X0000754399Y0001512148D01* +X0000754999Y0001512148D01* +X0000755700Y0001512148D01* +X0000756200Y0001512148D01* +X0000756599Y0001512248D01* +X0000756599Y0001512248D01* +X0000757900Y0001512548D01* +X0000759000Y0001512848D01* +X0000760100Y0001513348D01* +X0000760900Y0001513748D01* +X0000762200Y0001514648D01* +X0000763399Y0001515648D01* +X0000764400Y0001516748D01* +X0000765299Y0001518048D01* +X0000766100Y0001519448D01* +X0000766699Y0001520948D01* +X0000766999Y0001522248D01* +X0000767100Y0001522848D01* +X0000767200Y0001523548D01* +X0000767200Y0001524348D01* +X0000767200Y0001525248D01* +X0000767200Y0001526048D01* +X0000767100Y0001526748D01* +X0000766999Y0001527348D01* +X0000766999Y0001527448D01* +X0000766600Y0001528948D01* +X0000766000Y0001530448D01* +X0000765299Y0001531748D01* +X0000764400Y0001533048D01* +X0000763399Y0001534148D01* +X0000762200Y0001535148D01* +X0000762099Y0001535248D01* +X0000760999Y0001535948D01* +X0000759700Y0001536548D01* +X0000758400Y0001537048D01* +X0000757100Y0001537448D01* +X0000756899Y0001537448D01* +X0000756099Y0001537548D01* +X0000755299Y0001537648D01* +X0000754399Y0001537648D01* +X0000753599Y0001537648D01* +X0000752799Y0001537548D01* +X0000752600Y0001537448D01* +X0000751100Y0001537148D01* +X0000749599Y0001536548D01* +X0000748300Y0001535848D01* +X0000747000Y0001535048D01* +X0000745900Y0001533948D01* +X0000744800Y0001532848D01* +X0000744000Y0001531548D01* +X0000743499Y0001530748D01* +X0000742900Y0001529348D01* +X0000742499Y0001527848D01* +X0000742199Y0001526348D01* +X0000742100Y0001524848D01* +X0000742100Y0001524848D01* +X0000742100Y0001524848D01* +G37* +X0000742100Y0001524848D02* +X0000742199Y0001523348D01* +X0000742499Y0001521848D01* +X0000742900Y0001520448D01* +X0000743499Y0001519048D01* +X0000744300Y0001517748D01* +X0000745199Y0001516548D01* +X0000745900Y0001515848D01* +X0000747099Y0001514748D01* +X0000748300Y0001513848D01* +X0000749700Y0001513148D01* +X0000751100Y0001512648D01* +X0000752100Y0001512348D01* +X0000752499Y0001512248D01* +X0000753000Y0001512248D01* +X0000753700Y0001512148D01* +X0000754399Y0001512148D01* +X0000754999Y0001512148D01* +X0000755700Y0001512148D01* +X0000756200Y0001512148D01* +X0000756599Y0001512248D01* +X0000756599Y0001512248D01* +X0000757900Y0001512548D01* +X0000759000Y0001512848D01* +X0000760100Y0001513348D01* +X0000760900Y0001513748D01* +X0000762200Y0001514648D01* +X0000763399Y0001515648D01* +X0000764400Y0001516748D01* +X0000765299Y0001518048D01* +X0000766100Y0001519448D01* +X0000766699Y0001520948D01* +X0000766999Y0001522248D01* +X0000767100Y0001522848D01* +X0000767200Y0001523548D01* +X0000767200Y0001524348D01* +X0000767200Y0001525248D01* +X0000767200Y0001526048D01* +X0000767100Y0001526748D01* +X0000766999Y0001527348D01* +X0000766999Y0001527448D01* +X0000766600Y0001528948D01* +X0000766000Y0001530448D01* +X0000765299Y0001531748D01* +X0000764400Y0001533048D01* +X0000763399Y0001534148D01* +X0000762200Y0001535148D01* +X0000762099Y0001535248D01* +X0000760999Y0001535948D01* +X0000759700Y0001536548D01* +X0000758400Y0001537048D01* +X0000757100Y0001537448D01* +X0000756899Y0001537448D01* +X0000756099Y0001537548D01* +X0000755299Y0001537648D01* +X0000754399Y0001537648D01* +X0000753599Y0001537648D01* +X0000752799Y0001537548D01* +X0000752600Y0001537448D01* +X0000751100Y0001537148D01* +X0000749599Y0001536548D01* +X0000748300Y0001535848D01* +X0000747000Y0001535048D01* +X0000745900Y0001533948D01* +X0000744800Y0001532848D01* +X0000744000Y0001531548D01* +X0000743499Y0001530748D01* +X0000742900Y0001529348D01* +X0000742499Y0001527848D01* +X0000742199Y0001526348D01* +X0000742100Y0001524848D01* +X0000742100Y0001524848D01* +G36* +X0000569700Y0001517048D02* +X0000569799Y0001516948D01* +X0000570000Y0001516848D01* +X0000570399Y0001516748D01* +X0000570899Y0001516548D01* +X0000571600Y0001516348D01* +X0000572299Y0001516048D01* +X0000573099Y0001515748D01* +X0000574000Y0001515448D01* +X0000574900Y0001515148D01* +X0000575799Y0001514848D01* +X0000576699Y0001514548D01* +X0000577600Y0001514248D01* +X0000578400Y0001513948D01* +X0000579200Y0001513648D01* +X0000579899Y0001513348D01* +X0000580499Y0001513148D01* +X0000580999Y0001513048D01* +X0000581400Y0001512948D01* +X0000581599Y0001512848D01* +X0000581700Y0001512848D01* +X0000581799Y0001512848D01* +X0000582099Y0001512948D01* +X0000582500Y0001513148D01* +X0000583100Y0001513348D01* +X0000583799Y0001513548D01* +X0000584500Y0001513848D01* +X0000585399Y0001514248D01* +X0000586300Y0001514548D01* +X0000587200Y0001514948D01* +X0000588200Y0001515248D01* +X0000589100Y0001515648D01* +X0000589999Y0001515948D01* +X0000590700Y0001516248D01* +X0000591399Y0001516548D01* +X0000591899Y0001516748D01* +X0000592300Y0001516948D01* +X0000592499Y0001517048D01* +X0000592600Y0001517148D01* +X0000592699Y0001517248D01* +X0000592900Y0001517548D01* +X0000593299Y0001517848D01* +X0000593799Y0001518248D01* +X0000594300Y0001518648D01* +X0000594899Y0001519148D01* +X0000595600Y0001519548D01* +X0000596299Y0001519948D01* +X0000597000Y0001520448D01* +X0000597599Y0001520748D01* +X0000598300Y0001521148D01* +X0000598499Y0001521248D01* +X0000598999Y0001521448D01* +X0000599400Y0001521648D01* +X0000599599Y0001521848D01* +X0000599799Y0001521948D01* +X0000599799Y0001521948D01* +X0000599799Y0001522048D01* +X0000599799Y0001522048D01* +X0000599700Y0001522248D01* +X0000599500Y0001522448D01* +X0000599200Y0001522848D01* +X0000598799Y0001523148D01* +X0000598799Y0001523148D01* +X0000598300Y0001523748D01* +X0000598000Y0001524148D01* +X0000597800Y0001524448D01* +X0000597800Y0001524748D01* +X0000597899Y0001524848D01* +X0000597899Y0001524948D01* +X0000597800Y0001525048D01* +X0000597500Y0001525248D01* +X0000597099Y0001525348D01* +X0000596599Y0001525248D01* +X0000595799Y0001525148D01* +X0000595199Y0001524948D01* +X0000594800Y0001524848D01* +X0000594399Y0001524748D01* +X0000593899Y0001524648D01* +X0000593400Y0001524548D01* +X0000592699Y0001524348D01* +X0000592000Y0001524248D01* +X0000591200Y0001524048D01* +X0000590200Y0001523848D01* +X0000589000Y0001523648D01* +X0000588800Y0001523548D01* +X0000586000Y0001522948D01* +X0000583300Y0001522248D01* +X0000580699Y0001521448D01* +X0000578500Y0001520548D01* +X0000577999Y0001520448D01* +X0000577400Y0001520148D01* +X0000576699Y0001519848D01* +X0000575899Y0001519548D01* +X0000574999Y0001519148D01* +X0000574100Y0001518748D01* +X0000573300Y0001518448D01* +X0000572500Y0001518148D01* +X0000571799Y0001517848D01* +X0000571100Y0001517548D01* +X0000570599Y0001517348D01* +X0000570099Y0001517148D01* +X0000569799Y0001517048D01* +X0000569700Y0001517048D01* +X0000569700Y0001517048D01* +X0000569700Y0001517048D01* +X0000569700Y0001517048D01* +G37* +X0000569700Y0001517048D02* +X0000569799Y0001516948D01* +X0000570000Y0001516848D01* +X0000570399Y0001516748D01* +X0000570899Y0001516548D01* +X0000571600Y0001516348D01* +X0000572299Y0001516048D01* +X0000573099Y0001515748D01* +X0000574000Y0001515448D01* +X0000574900Y0001515148D01* +X0000575799Y0001514848D01* +X0000576699Y0001514548D01* +X0000577600Y0001514248D01* +X0000578400Y0001513948D01* +X0000579200Y0001513648D01* +X0000579899Y0001513348D01* +X0000580499Y0001513148D01* +X0000580999Y0001513048D01* +X0000581400Y0001512948D01* +X0000581599Y0001512848D01* +X0000581700Y0001512848D01* +X0000581799Y0001512848D01* +X0000582099Y0001512948D01* +X0000582500Y0001513148D01* +X0000583100Y0001513348D01* +X0000583799Y0001513548D01* +X0000584500Y0001513848D01* +X0000585399Y0001514248D01* +X0000586300Y0001514548D01* +X0000587200Y0001514948D01* +X0000588200Y0001515248D01* +X0000589100Y0001515648D01* +X0000589999Y0001515948D01* +X0000590700Y0001516248D01* +X0000591399Y0001516548D01* +X0000591899Y0001516748D01* +X0000592300Y0001516948D01* +X0000592499Y0001517048D01* +X0000592600Y0001517148D01* +X0000592699Y0001517248D01* +X0000592900Y0001517548D01* +X0000593299Y0001517848D01* +X0000593799Y0001518248D01* +X0000594300Y0001518648D01* +X0000594899Y0001519148D01* +X0000595600Y0001519548D01* +X0000596299Y0001519948D01* +X0000597000Y0001520448D01* +X0000597599Y0001520748D01* +X0000598300Y0001521148D01* +X0000598499Y0001521248D01* +X0000598999Y0001521448D01* +X0000599400Y0001521648D01* +X0000599599Y0001521848D01* +X0000599799Y0001521948D01* +X0000599799Y0001521948D01* +X0000599799Y0001522048D01* +X0000599799Y0001522048D01* +X0000599700Y0001522248D01* +X0000599500Y0001522448D01* +X0000599200Y0001522848D01* +X0000598799Y0001523148D01* +X0000598799Y0001523148D01* +X0000598300Y0001523748D01* +X0000598000Y0001524148D01* +X0000597800Y0001524448D01* +X0000597800Y0001524748D01* +X0000597899Y0001524848D01* +X0000597899Y0001524948D01* +X0000597800Y0001525048D01* +X0000597500Y0001525248D01* +X0000597099Y0001525348D01* +X0000596599Y0001525248D01* +X0000595799Y0001525148D01* +X0000595199Y0001524948D01* +X0000594800Y0001524848D01* +X0000594399Y0001524748D01* +X0000593899Y0001524648D01* +X0000593400Y0001524548D01* +X0000592699Y0001524348D01* +X0000592000Y0001524248D01* +X0000591200Y0001524048D01* +X0000590200Y0001523848D01* +X0000589000Y0001523648D01* +X0000588800Y0001523548D01* +X0000586000Y0001522948D01* +X0000583300Y0001522248D01* +X0000580699Y0001521448D01* +X0000578500Y0001520548D01* +X0000577999Y0001520448D01* +X0000577400Y0001520148D01* +X0000576699Y0001519848D01* +X0000575899Y0001519548D01* +X0000574999Y0001519148D01* +X0000574100Y0001518748D01* +X0000573300Y0001518448D01* +X0000572500Y0001518148D01* +X0000571799Y0001517848D01* +X0000571100Y0001517548D01* +X0000570599Y0001517348D01* +X0000570099Y0001517148D01* +X0000569799Y0001517048D01* +X0000569700Y0001517048D01* +X0000569700Y0001517048D01* +X0000569700Y0001517048D01* +G36* +X0000622999Y0001553148D02* +X0000623299Y0001552848D01* +X0000623299Y0001552848D01* +X0000623400Y0001552748D01* +X0000623500Y0001552748D01* +X0000623700Y0001552648D01* +X0000624000Y0001552548D01* +X0000624300Y0001552448D01* +X0000624800Y0001552448D01* +X0000625400Y0001552248D01* +X0000626100Y0001552148D01* +X0000627000Y0001551948D01* +X0000628000Y0001551748D01* +X0000628699Y0001551648D01* +X0000629599Y0001551448D01* +X0000630599Y0001551348D01* +X0000631499Y0001551148D01* +X0000632200Y0001551048D01* +X0000632900Y0001550848D01* +X0000633500Y0001550748D01* +X0000633899Y0001550648D01* +X0000634100Y0001550648D01* +X0000634100Y0001550648D01* +X0000634400Y0001550448D01* +X0000634600Y0001550248D01* +X0000634699Y0001550048D01* +X0000634900Y0001549648D01* +X0000635100Y0001549148D01* +X0000635299Y0001548548D01* +X0000635599Y0001547848D01* +X0000635900Y0001547048D01* +X0000636200Y0001546348D01* +X0000636500Y0001545548D01* +X0000636800Y0001544748D01* +X0000637100Y0001544048D01* +X0000637400Y0001543348D01* +X0000637600Y0001542748D01* +X0000637799Y0001542248D01* +X0000637900Y0001541848D01* +X0000637999Y0001541548D01* +X0000637999Y0001541548D01* +X0000637999Y0001541448D01* +X0000637999Y0001541348D01* +X0000637999Y0001541248D01* +X0000637900Y0001541048D01* +X0000637799Y0001540848D01* +X0000637600Y0001540548D01* +X0000637300Y0001540148D01* +X0000637000Y0001539648D01* +X0000636599Y0001539048D01* +X0000636099Y0001538448D01* +X0000635599Y0001537648D01* +X0000634999Y0001536848D01* +X0000634499Y0001536048D01* +X0000634000Y0001535248D01* +X0000633500Y0001534548D01* +X0000633000Y0001533848D01* +X0000632599Y0001533248D01* +X0000632299Y0001532748D01* +X0000632100Y0001532348D01* +X0000631900Y0001532148D01* +X0000631900Y0001532048D01* +X0000631900Y0001531948D01* +X0000631900Y0001531848D01* +X0000631999Y0001531748D01* +X0000632100Y0001531548D01* +X0000632299Y0001531348D01* +X0000632599Y0001531048D01* +X0000633000Y0001530648D01* +X0000633399Y0001530148D01* +X0000634000Y0001529548D01* +X0000634699Y0001528848D01* +X0000635499Y0001528048D01* +X0000635799Y0001527848D01* +X0000636500Y0001527048D01* +X0000637199Y0001526348D01* +X0000637900Y0001525748D01* +X0000638400Y0001525148D01* +X0000639000Y0001524648D01* +X0000639399Y0001524248D01* +X0000639699Y0001523948D01* +X0000639899Y0001523748D01* +X0000640000Y0001523648D01* +X0000640300Y0001523648D01* +X0000640400Y0001523648D01* +X0000640600Y0001523648D01* +X0000640799Y0001523848D01* +X0000641200Y0001524148D01* +X0000641700Y0001524448D01* +X0000642300Y0001524848D01* +X0000642899Y0001525248D01* +X0000643600Y0001525748D01* +X0000643799Y0001525848D01* +X0000644499Y0001526348D01* +X0000645099Y0001526748D01* +X0000645699Y0001527148D01* +X0000646199Y0001527448D01* +X0000646499Y0001527748D01* +X0000646799Y0001527948D01* +X0000646900Y0001527948D01* +X0000646900Y0001527948D01* +X0000646999Y0001528048D01* +X0000647299Y0001528148D01* +X0000647599Y0001528348D01* +X0000648000Y0001528648D01* +X0000648200Y0001528748D01* +X0000648699Y0001529148D01* +X0000649199Y0001529448D01* +X0000649499Y0001529548D01* +X0000649600Y0001529548D01* +X0000649799Y0001529548D01* +X0000650100Y0001529448D01* +X0000650599Y0001529248D01* +X0000651099Y0001528948D01* +X0000651200Y0001528848D01* +X0000652000Y0001528448D01* +X0000652699Y0001528148D01* +X0000653299Y0001527848D01* +X0000653700Y0001527648D01* +X0000654000Y0001527548D01* +X0000654099Y0001527548D01* +X0000654300Y0001527648D01* +X0000654399Y0001527848D01* +X0000654500Y0001527948D01* +X0000654599Y0001528248D01* +X0000654800Y0001528648D01* +X0000655000Y0001529148D01* +X0000655199Y0001529748D01* +X0000655499Y0001530348D01* +X0000655799Y0001531048D01* +X0000656100Y0001531748D01* +X0000656299Y0001532348D01* +X0000656599Y0001532948D01* +X0000656799Y0001533448D01* +X0000657000Y0001533848D01* +X0000657099Y0001534148D01* +X0000657099Y0001534348D01* +X0000657099Y0001534348D01* +X0000657200Y0001534448D01* +X0000657300Y0001534648D01* +X0000657399Y0001535148D01* +X0000657699Y0001535648D01* +X0000657899Y0001536348D01* +X0000658300Y0001537148D01* +X0000658600Y0001537948D01* +X0000658999Y0001538948D01* +X0000659400Y0001539948D01* +X0000659700Y0001540648D01* +X0000660200Y0001541848D01* +X0000660599Y0001542948D01* +X0000661000Y0001543848D01* +X0000661300Y0001544648D01* +X0000661600Y0001545248D01* +X0000661800Y0001545748D01* +X0000661899Y0001546148D01* +X0000662100Y0001546448D01* +X0000662100Y0001546748D01* +X0000662200Y0001546848D01* +X0000662200Y0001546948D01* +X0000662200Y0001547048D01* +X0000661999Y0001547248D01* +X0000661800Y0001547448D01* +X0000661600Y0001547548D01* +X0000661000Y0001547948D01* +X0000660300Y0001548448D01* +X0000659599Y0001548948D01* +X0000658999Y0001549548D01* +X0000658400Y0001550048D01* +X0000658100Y0001550348D01* +X0000657099Y0001551448D01* +X0000656299Y0001552748D01* +X0000655699Y0001554048D01* +X0000655199Y0001555448D01* +X0000654899Y0001556948D01* +X0000654800Y0001558348D01* +X0000654800Y0001559748D01* +X0000655000Y0001561248D01* +X0000655499Y0001562648D01* +X0000655799Y0001563548D01* +X0000656499Y0001564948D01* +X0000657399Y0001566248D01* +X0000658400Y0001567348D01* +X0000659599Y0001568348D01* +X0000660800Y0001569148D01* +X0000662200Y0001569848D01* +X0000663599Y0001570348D01* +X0000664899Y0001570648D01* +X0000665599Y0001570748D01* +X0000666399Y0001570848D01* +X0000667199Y0001570848D01* +X0000668100Y0001570848D01* +X0000668799Y0001570748D01* +X0000669200Y0001570648D01* +X0000670600Y0001570348D01* +X0000672099Y0001569748D01* +X0000673400Y0001569048D01* +X0000674599Y0001568248D01* +X0000675599Y0001567248D01* +X0000676600Y0001566148D01* +X0000677400Y0001564948D01* +X0000678099Y0001563648D01* +X0000678699Y0001562148D01* +X0000679000Y0001560648D01* +X0000679100Y0001560448D01* +X0000679100Y0001559548D01* +X0000679199Y0001558648D01* +X0000679100Y0001557648D01* +X0000679000Y0001556648D01* +X0000678899Y0001555748D01* +X0000678399Y0001554348D01* +X0000677700Y0001552948D01* +X0000676900Y0001551648D01* +X0000675899Y0001550448D01* +X0000675800Y0001550348D01* +X0000675300Y0001549848D01* +X0000674799Y0001549248D01* +X0000674100Y0001548748D01* +X0000673400Y0001548148D01* +X0000672800Y0001547748D01* +X0000672500Y0001547548D01* +X0000672200Y0001547348D01* +X0000672000Y0001547148D01* +X0000671900Y0001547048D01* +X0000671900Y0001546948D01* +X0000671900Y0001546848D01* +X0000672000Y0001546648D01* +X0000672000Y0001546448D01* +X0000672099Y0001546148D01* +X0000672300Y0001545748D01* +X0000672500Y0001545248D01* +X0000672699Y0001544648D01* +X0000673000Y0001543848D01* +X0000673400Y0001543048D01* +X0000673800Y0001542048D01* +X0000674200Y0001540948D01* +X0000674799Y0001539648D01* +X0000675300Y0001538148D01* +X0000675599Y0001537248D01* +X0000676199Y0001535948D01* +X0000676699Y0001534748D01* +X0000677200Y0001533548D01* +X0000677700Y0001532348D01* +X0000678099Y0001531348D01* +X0000678500Y0001530448D01* +X0000678899Y0001529648D01* +X0000679100Y0001528948D01* +X0000679400Y0001528348D01* +X0000679600Y0001527948D01* +X0000679699Y0001527648D01* +X0000679699Y0001527648D01* +X0000679800Y0001527548D01* +X0000679900Y0001527548D01* +X0000679999Y0001527548D01* +X0000680099Y0001527548D01* +X0000680299Y0001527648D01* +X0000680599Y0001527748D01* +X0000680900Y0001527948D01* +X0000681500Y0001528248D01* +X0000682100Y0001528648D01* +X0000682199Y0001528648D01* +X0000682800Y0001528948D01* +X0000683299Y0001529248D01* +X0000683799Y0001529448D01* +X0000684099Y0001529548D01* +X0000684300Y0001529648D01* +X0000684399Y0001529648D01* +X0000684500Y0001529648D01* +X0000684699Y0001529448D01* +X0000685100Y0001529248D01* +X0000685499Y0001528948D01* +X0000686099Y0001528548D01* +X0000686900Y0001528148D01* +X0000687800Y0001527548D01* +X0000688800Y0001526748D01* +X0000688999Y0001526648D01* +X0000689799Y0001526048D01* +X0000690599Y0001525548D01* +X0000691300Y0001525048D01* +X0000691999Y0001524648D01* +X0000692499Y0001524248D01* +X0000693000Y0001523948D01* +X0000693300Y0001523748D01* +X0000693500Y0001523648D01* +X0000693500Y0001523648D01* +X0000693800Y0001523648D01* +X0000693899Y0001523648D01* +X0000694000Y0001523748D01* +X0000694300Y0001523948D01* +X0000694600Y0001524348D01* +X0000695099Y0001524748D01* +X0000695599Y0001525248D01* +X0000696099Y0001525748D01* +X0000696800Y0001526448D01* +X0000697399Y0001527048D01* +X0000698100Y0001527748D01* +X0000698700Y0001528348D01* +X0000699399Y0001529048D01* +X0000700000Y0001529648D01* +X0000700499Y0001530148D01* +X0000700999Y0001530648D01* +X0000701400Y0001531148D01* +X0000701700Y0001531448D01* +X0000701900Y0001531648D01* +X0000702000Y0001531748D01* +X0000702099Y0001531948D01* +X0000702099Y0001532148D01* +X0000702000Y0001532248D01* +X0000701799Y0001532548D01* +X0000701599Y0001532948D01* +X0000701199Y0001533448D01* +X0000700800Y0001534048D01* +X0000700399Y0001534748D01* +X0000699800Y0001535448D01* +X0000699300Y0001536348D01* +X0000699000Y0001536748D01* +X0000698299Y0001537748D01* +X0000697699Y0001538648D01* +X0000697199Y0001539348D01* +X0000696800Y0001539948D01* +X0000696500Y0001540448D01* +X0000696300Y0001540848D01* +X0000696099Y0001541148D01* +X0000696000Y0001541348D01* +X0000695900Y0001541448D01* +X0000695900Y0001541548D01* +X0000696000Y0001541648D01* +X0000696099Y0001541948D01* +X0000696200Y0001542348D01* +X0000696399Y0001542948D01* +X0000696700Y0001543548D01* +X0000696899Y0001544248D01* +X0000697199Y0001545048D01* +X0000697499Y0001545848D01* +X0000697800Y0001546648D01* +X0000698199Y0001547348D01* +X0000698400Y0001548148D01* +X0000698700Y0001548748D01* +X0000698900Y0001549348D01* +X0000699099Y0001549848D01* +X0000699300Y0001550148D01* +X0000699300Y0001550248D01* +X0000699500Y0001550448D01* +X0000699800Y0001550648D01* +X0000700000Y0001550648D01* +X0000700300Y0001550748D01* +X0000700800Y0001550848D01* +X0000701400Y0001550948D01* +X0000702099Y0001551048D01* +X0000703000Y0001551248D01* +X0000703900Y0001551448D01* +X0000704900Y0001551548D01* +X0000705300Y0001551648D01* +X0000706399Y0001551848D01* +X0000707400Y0001552048D01* +X0000708200Y0001552248D01* +X0000708800Y0001552348D01* +X0000709399Y0001552448D01* +X0000709800Y0001552548D01* +X0000710100Y0001552648D01* +X0000710299Y0001552648D01* +X0000710499Y0001552748D01* +X0000710599Y0001552748D01* +X0000710700Y0001552848D01* +X0000710700Y0001552848D01* +X0000710900Y0001553148D01* +X0000710900Y0001558748D01* +X0000710900Y0001559948D01* +X0000710900Y0001561148D01* +X0000710900Y0001562148D01* +X0000710900Y0001562948D01* +X0000710900Y0001563648D01* +X0000710799Y0001564048D01* +X0000710799Y0001564348D01* +X0000710799Y0001564448D01* +X0000710599Y0001564648D01* +X0000710499Y0001564748D01* +X0000710400Y0001564748D01* +X0000710100Y0001564848D01* +X0000709600Y0001564948D01* +X0000709000Y0001565048D01* +X0000708300Y0001565148D01* +X0000707499Y0001565348D01* +X0000706600Y0001565448D01* +X0000705599Y0001565648D01* +X0000704900Y0001565848D01* +X0000703600Y0001566048D01* +X0000702399Y0001566248D01* +X0000701400Y0001566448D01* +X0000700600Y0001566648D01* +X0000700000Y0001566748D01* +X0000699599Y0001566848D01* +X0000699300Y0001566948D01* +X0000699300Y0001566948D01* +X0000699200Y0001567048D01* +X0000699099Y0001567348D01* +X0000698900Y0001567748D01* +X0000698599Y0001568248D01* +X0000698299Y0001569048D01* +X0000697900Y0001569848D01* +X0000697399Y0001570948D01* +X0000697399Y0001571148D01* +X0000696899Y0001572148D01* +X0000696599Y0001572948D01* +X0000696300Y0001573648D01* +X0000696099Y0001574148D01* +X0000695900Y0001574548D01* +X0000695799Y0001574948D01* +X0000695700Y0001575148D01* +X0000695700Y0001575348D01* +X0000695700Y0001575448D01* +X0000695700Y0001575548D01* +X0000695700Y0001575648D01* +X0000695700Y0001575748D01* +X0000695799Y0001575948D01* +X0000696000Y0001576148D01* +X0000696200Y0001576548D01* +X0000696399Y0001576948D01* +X0000696800Y0001577448D01* +X0000697199Y0001578048D01* +X0000697699Y0001578848D01* +X0000698299Y0001579648D01* +X0000698799Y0001580448D01* +X0000699399Y0001581348D01* +X0000700000Y0001582148D01* +X0000700499Y0001582848D01* +X0000700900Y0001583548D01* +X0000701299Y0001584148D01* +X0000701700Y0001584648D01* +X0000701900Y0001584948D01* +X0000702000Y0001585148D01* +X0000702099Y0001585248D01* +X0000702099Y0001585448D01* +X0000702000Y0001585648D01* +X0000701900Y0001585848D01* +X0000701700Y0001586048D01* +X0000701400Y0001586348D01* +X0000700900Y0001586848D01* +X0000700399Y0001587348D01* +X0000699899Y0001587948D01* +X0000699300Y0001588548D01* +X0000698599Y0001589148D01* +X0000697999Y0001589848D01* +X0000697300Y0001590448D01* +X0000696700Y0001591048D01* +X0000696099Y0001591748D01* +X0000695499Y0001592248D01* +X0000694999Y0001592748D01* +X0000694600Y0001593148D01* +X0000694199Y0001593448D01* +X0000694000Y0001593648D01* +X0000693899Y0001593748D01* +X0000693899Y0001593748D01* +X0000693599Y0001593748D01* +X0000693500Y0001593748D01* +X0000693399Y0001593748D01* +X0000693200Y0001593548D01* +X0000692799Y0001593348D01* +X0000692299Y0001592948D01* +X0000691699Y0001592548D01* +X0000691000Y0001592148D01* +X0000690200Y0001591548D01* +X0000689400Y0001591048D01* +X0000688699Y0001590548D01* +X0000687800Y0001589948D01* +X0000687000Y0001589348D01* +X0000686200Y0001588848D01* +X0000685499Y0001588348D01* +X0000684899Y0001587948D01* +X0000684399Y0001587648D01* +X0000684000Y0001587448D01* +X0000683799Y0001587248D01* +X0000683700Y0001587248D01* +X0000683599Y0001587248D01* +X0000683299Y0001587248D01* +X0000682999Y0001587448D01* +X0000682499Y0001587548D01* +X0000681899Y0001587848D01* +X0000681099Y0001588148D01* +X0000680200Y0001588548D01* +X0000679600Y0001588748D01* +X0000678699Y0001589048D01* +X0000678000Y0001589448D01* +X0000677299Y0001589748D01* +X0000676600Y0001589948D01* +X0000676100Y0001590148D01* +X0000675800Y0001590348D01* +X0000675500Y0001590448D01* +X0000675500Y0001590448D01* +X0000675300Y0001590648D01* +X0000675200Y0001590948D01* +X0000675200Y0001590948D01* +X0000675099Y0001591048D01* +X0000675099Y0001591448D01* +X0000675000Y0001591948D01* +X0000674900Y0001592548D01* +X0000674799Y0001593248D01* +X0000674599Y0001594148D01* +X0000674499Y0001595048D01* +X0000674299Y0001596048D01* +X0000674200Y0001596748D01* +X0000673900Y0001597948D01* +X0000673800Y0001598948D01* +X0000673600Y0001599748D01* +X0000673499Y0001600448D01* +X0000673400Y0001600948D01* +X0000673300Y0001601448D01* +X0000673199Y0001601748D01* +X0000673100Y0001601948D01* +X0000673100Y0001602148D01* +X0000673000Y0001602248D01* +X0000673000Y0001602348D01* +X0000672899Y0001602448D01* +X0000672699Y0001602648D01* +X0000667000Y0001602648D01* +X0000661300Y0001602648D01* +X0000661100Y0001602448D01* +X0000660899Y0001602248D01* +X0000660899Y0001602048D01* +X0000660899Y0001602048D01* +X0000660800Y0001601948D01* +X0000660800Y0001601648D01* +X0000660699Y0001601148D01* +X0000660599Y0001600548D01* +X0000660500Y0001599848D01* +X0000660300Y0001599148D01* +X0000660200Y0001598248D01* +X0000660000Y0001597348D01* +X0000659799Y0001596448D01* +X0000659700Y0001595548D01* +X0000659499Y0001594648D01* +X0000659299Y0001593848D01* +X0000659200Y0001593048D01* +X0000658999Y0001592348D01* +X0000658899Y0001591748D01* +X0000658799Y0001591248D01* +X0000658699Y0001590948D01* +X0000658699Y0001590748D01* +X0000658699Y0001590748D01* +X0000658699Y0001590648D01* +X0000658600Y0001590648D01* +X0000658499Y0001590548D01* +X0000658400Y0001590448D01* +X0000658300Y0001590348D01* +X0000658000Y0001590248D01* +X0000657699Y0001590148D01* +X0000657300Y0001589948D01* +X0000656700Y0001589648D01* +X0000655999Y0001589448D01* +X0000655100Y0001589048D01* +X0000654300Y0001588748D01* +X0000653299Y0001588248D01* +X0000652400Y0001587948D01* +X0000651699Y0001587648D01* +X0000651200Y0001587448D01* +X0000650799Y0001587348D01* +X0000650499Y0001587248D01* +X0000650299Y0001587248D01* +X0000650299Y0001587248D01* +X0000650100Y0001587248D01* +X0000649899Y0001587448D01* +X0000649499Y0001587748D01* +X0000648899Y0001588048D01* +X0000648300Y0001588448D01* +X0000647599Y0001588948D01* +X0000646799Y0001589448D01* +X0000646000Y0001590048D01* +X0000645300Y0001590548D01* +X0000644499Y0001591148D01* +X0000643600Y0001591648D01* +X0000642899Y0001592148D01* +X0000642200Y0001592648D01* +X0000641599Y0001593048D01* +X0000641100Y0001593348D01* +X0000640799Y0001593648D01* +X0000640499Y0001593748D01* +X0000640400Y0001593848D01* +X0000640199Y0001593848D01* +X0000640000Y0001593748D01* +X0000639899Y0001593648D01* +X0000639599Y0001593448D01* +X0000639300Y0001593048D01* +X0000638900Y0001592648D01* +X0000638299Y0001592148D01* +X0000637799Y0001591548D01* +X0000637199Y0001590948D01* +X0000636500Y0001590348D01* +X0000635799Y0001589648D01* +X0000635200Y0001589048D01* +X0000634600Y0001588348D01* +X0000633899Y0001587748D01* +X0000633399Y0001587248D01* +X0000632900Y0001586748D01* +X0000632499Y0001586248D01* +X0000632200Y0001585948D01* +X0000631999Y0001585748D01* +X0000631900Y0001585648D01* +X0000631900Y0001585348D01* +X0000631900Y0001585248D01* +X0000631900Y0001585148D01* +X0000632100Y0001584848D01* +X0000632400Y0001584448D01* +X0000632700Y0001583948D01* +X0000633099Y0001583348D01* +X0000633599Y0001582648D01* +X0000634100Y0001581948D01* +X0000634699Y0001581048D01* +X0000635100Y0001580448D01* +X0000635700Y0001579648D01* +X0000636200Y0001578848D01* +X0000636699Y0001578048D01* +X0000637199Y0001577348D01* +X0000637600Y0001576848D01* +X0000637900Y0001576348D01* +X0000638100Y0001575948D01* +X0000638299Y0001575748D01* +X0000638299Y0001575648D01* +X0000638299Y0001575648D01* +X0000638400Y0001575548D01* +X0000638400Y0001575448D01* +X0000638299Y0001575348D01* +X0000638299Y0001575148D01* +X0000638200Y0001574848D01* +X0000638100Y0001574548D01* +X0000637900Y0001574148D01* +X0000637699Y0001573548D01* +X0000637400Y0001572948D01* +X0000637000Y0001572148D01* +X0000636599Y0001571148D01* +X0000636500Y0001570948D01* +X0000636200Y0001570048D01* +X0000635799Y0001569248D01* +X0000635499Y0001568548D01* +X0000635200Y0001567948D01* +X0000634999Y0001567548D01* +X0000634799Y0001567148D01* +X0000634699Y0001567048D01* +X0000634699Y0001567048D01* +X0000634600Y0001566948D01* +X0000634499Y0001566948D01* +X0000634199Y0001566848D01* +X0000633899Y0001566748D01* +X0000633500Y0001566648D01* +X0000632900Y0001566548D01* +X0000632100Y0001566448D01* +X0000631300Y0001566248D01* +X0000630200Y0001566048D01* +X0000630099Y0001566048D01* +X0000628799Y0001565748D01* +X0000627699Y0001565548D01* +X0000626700Y0001565348D01* +X0000625900Y0001565248D01* +X0000625300Y0001565148D01* +X0000624699Y0001565048D01* +X0000624300Y0001564948D01* +X0000624000Y0001564848D01* +X0000623700Y0001564748D01* +X0000623500Y0001564748D01* +X0000623400Y0001564648D01* +X0000623299Y0001564648D01* +X0000623299Y0001564648D01* +X0000623200Y0001564548D01* +X0000623200Y0001564548D01* +X0000623200Y0001564448D01* +X0000623200Y0001564348D01* +X0000623100Y0001564248D01* +X0000623100Y0001564148D01* +X0000623100Y0001563848D01* +X0000623100Y0001563548D01* +X0000623100Y0001563148D01* +X0000623100Y0001562648D01* +X0000622999Y0001561948D01* +X0000622999Y0001561148D01* +X0000622999Y0001560248D01* +X0000622999Y0001559148D01* +X0000622999Y0001558748D01* +X0000622999Y0001553148D01* +X0000622999Y0001553148D01* +X0000622999Y0001553148D01* +G37* +X0000622999Y0001553148D02* +X0000623299Y0001552848D01* +X0000623299Y0001552848D01* +X0000623400Y0001552748D01* +X0000623500Y0001552748D01* +X0000623700Y0001552648D01* +X0000624000Y0001552548D01* +X0000624300Y0001552448D01* +X0000624800Y0001552448D01* +X0000625400Y0001552248D01* +X0000626100Y0001552148D01* +X0000627000Y0001551948D01* +X0000628000Y0001551748D01* +X0000628699Y0001551648D01* +X0000629599Y0001551448D01* +X0000630599Y0001551348D01* +X0000631499Y0001551148D01* +X0000632200Y0001551048D01* +X0000632900Y0001550848D01* +X0000633500Y0001550748D01* +X0000633899Y0001550648D01* +X0000634100Y0001550648D01* +X0000634100Y0001550648D01* +X0000634400Y0001550448D01* +X0000634600Y0001550248D01* +X0000634699Y0001550048D01* +X0000634900Y0001549648D01* +X0000635100Y0001549148D01* +X0000635299Y0001548548D01* +X0000635599Y0001547848D01* +X0000635900Y0001547048D01* +X0000636200Y0001546348D01* +X0000636500Y0001545548D01* +X0000636800Y0001544748D01* +X0000637100Y0001544048D01* +X0000637400Y0001543348D01* +X0000637600Y0001542748D01* +X0000637799Y0001542248D01* +X0000637900Y0001541848D01* +X0000637999Y0001541548D01* +X0000637999Y0001541548D01* +X0000637999Y0001541448D01* +X0000637999Y0001541348D01* +X0000637999Y0001541248D01* +X0000637900Y0001541048D01* +X0000637799Y0001540848D01* +X0000637600Y0001540548D01* +X0000637300Y0001540148D01* +X0000637000Y0001539648D01* +X0000636599Y0001539048D01* +X0000636099Y0001538448D01* +X0000635599Y0001537648D01* +X0000634999Y0001536848D01* +X0000634499Y0001536048D01* +X0000634000Y0001535248D01* +X0000633500Y0001534548D01* +X0000633000Y0001533848D01* +X0000632599Y0001533248D01* +X0000632299Y0001532748D01* +X0000632100Y0001532348D01* +X0000631900Y0001532148D01* +X0000631900Y0001532048D01* +X0000631900Y0001531948D01* +X0000631900Y0001531848D01* +X0000631999Y0001531748D01* +X0000632100Y0001531548D01* +X0000632299Y0001531348D01* +X0000632599Y0001531048D01* +X0000633000Y0001530648D01* +X0000633399Y0001530148D01* +X0000634000Y0001529548D01* +X0000634699Y0001528848D01* +X0000635499Y0001528048D01* +X0000635799Y0001527848D01* +X0000636500Y0001527048D01* +X0000637199Y0001526348D01* +X0000637900Y0001525748D01* +X0000638400Y0001525148D01* +X0000639000Y0001524648D01* +X0000639399Y0001524248D01* +X0000639699Y0001523948D01* +X0000639899Y0001523748D01* +X0000640000Y0001523648D01* +X0000640300Y0001523648D01* +X0000640400Y0001523648D01* +X0000640600Y0001523648D01* +X0000640799Y0001523848D01* +X0000641200Y0001524148D01* +X0000641700Y0001524448D01* +X0000642300Y0001524848D01* +X0000642899Y0001525248D01* +X0000643600Y0001525748D01* +X0000643799Y0001525848D01* +X0000644499Y0001526348D01* +X0000645099Y0001526748D01* +X0000645699Y0001527148D01* +X0000646199Y0001527448D01* +X0000646499Y0001527748D01* +X0000646799Y0001527948D01* +X0000646900Y0001527948D01* +X0000646900Y0001527948D01* +X0000646999Y0001528048D01* +X0000647299Y0001528148D01* +X0000647599Y0001528348D01* +X0000648000Y0001528648D01* +X0000648200Y0001528748D01* +X0000648699Y0001529148D01* +X0000649199Y0001529448D01* +X0000649499Y0001529548D01* +X0000649600Y0001529548D01* +X0000649799Y0001529548D01* +X0000650100Y0001529448D01* +X0000650599Y0001529248D01* +X0000651099Y0001528948D01* +X0000651200Y0001528848D01* +X0000652000Y0001528448D01* +X0000652699Y0001528148D01* +X0000653299Y0001527848D01* +X0000653700Y0001527648D01* +X0000654000Y0001527548D01* +X0000654099Y0001527548D01* +X0000654300Y0001527648D01* +X0000654399Y0001527848D01* +X0000654500Y0001527948D01* +X0000654599Y0001528248D01* +X0000654800Y0001528648D01* +X0000655000Y0001529148D01* +X0000655199Y0001529748D01* +X0000655499Y0001530348D01* +X0000655799Y0001531048D01* +X0000656100Y0001531748D01* +X0000656299Y0001532348D01* +X0000656599Y0001532948D01* +X0000656799Y0001533448D01* +X0000657000Y0001533848D01* +X0000657099Y0001534148D01* +X0000657099Y0001534348D01* +X0000657099Y0001534348D01* +X0000657200Y0001534448D01* +X0000657300Y0001534648D01* +X0000657399Y0001535148D01* +X0000657699Y0001535648D01* +X0000657899Y0001536348D01* +X0000658300Y0001537148D01* +X0000658600Y0001537948D01* +X0000658999Y0001538948D01* +X0000659400Y0001539948D01* +X0000659700Y0001540648D01* +X0000660200Y0001541848D01* +X0000660599Y0001542948D01* +X0000661000Y0001543848D01* +X0000661300Y0001544648D01* +X0000661600Y0001545248D01* +X0000661800Y0001545748D01* +X0000661899Y0001546148D01* +X0000662100Y0001546448D01* +X0000662100Y0001546748D01* +X0000662200Y0001546848D01* +X0000662200Y0001546948D01* +X0000662200Y0001547048D01* +X0000661999Y0001547248D01* +X0000661800Y0001547448D01* +X0000661600Y0001547548D01* +X0000661000Y0001547948D01* +X0000660300Y0001548448D01* +X0000659599Y0001548948D01* +X0000658999Y0001549548D01* +X0000658400Y0001550048D01* +X0000658100Y0001550348D01* +X0000657099Y0001551448D01* +X0000656299Y0001552748D01* +X0000655699Y0001554048D01* +X0000655199Y0001555448D01* +X0000654899Y0001556948D01* +X0000654800Y0001558348D01* +X0000654800Y0001559748D01* +X0000655000Y0001561248D01* +X0000655499Y0001562648D01* +X0000655799Y0001563548D01* +X0000656499Y0001564948D01* +X0000657399Y0001566248D01* +X0000658400Y0001567348D01* +X0000659599Y0001568348D01* +X0000660800Y0001569148D01* +X0000662200Y0001569848D01* +X0000663599Y0001570348D01* +X0000664899Y0001570648D01* +X0000665599Y0001570748D01* +X0000666399Y0001570848D01* +X0000667199Y0001570848D01* +X0000668100Y0001570848D01* +X0000668799Y0001570748D01* +X0000669200Y0001570648D01* +X0000670600Y0001570348D01* +X0000672099Y0001569748D01* +X0000673400Y0001569048D01* +X0000674599Y0001568248D01* +X0000675599Y0001567248D01* +X0000676600Y0001566148D01* +X0000677400Y0001564948D01* +X0000678099Y0001563648D01* +X0000678699Y0001562148D01* +X0000679000Y0001560648D01* +X0000679100Y0001560448D01* +X0000679100Y0001559548D01* +X0000679199Y0001558648D01* +X0000679100Y0001557648D01* +X0000679000Y0001556648D01* +X0000678899Y0001555748D01* +X0000678399Y0001554348D01* +X0000677700Y0001552948D01* +X0000676900Y0001551648D01* +X0000675899Y0001550448D01* +X0000675800Y0001550348D01* +X0000675300Y0001549848D01* +X0000674799Y0001549248D01* +X0000674100Y0001548748D01* +X0000673400Y0001548148D01* +X0000672800Y0001547748D01* +X0000672500Y0001547548D01* +X0000672200Y0001547348D01* +X0000672000Y0001547148D01* +X0000671900Y0001547048D01* +X0000671900Y0001546948D01* +X0000671900Y0001546848D01* +X0000672000Y0001546648D01* +X0000672000Y0001546448D01* +X0000672099Y0001546148D01* +X0000672300Y0001545748D01* +X0000672500Y0001545248D01* +X0000672699Y0001544648D01* +X0000673000Y0001543848D01* +X0000673400Y0001543048D01* +X0000673800Y0001542048D01* +X0000674200Y0001540948D01* +X0000674799Y0001539648D01* +X0000675300Y0001538148D01* +X0000675599Y0001537248D01* +X0000676199Y0001535948D01* +X0000676699Y0001534748D01* +X0000677200Y0001533548D01* +X0000677700Y0001532348D01* +X0000678099Y0001531348D01* +X0000678500Y0001530448D01* +X0000678899Y0001529648D01* +X0000679100Y0001528948D01* +X0000679400Y0001528348D01* +X0000679600Y0001527948D01* +X0000679699Y0001527648D01* +X0000679699Y0001527648D01* +X0000679800Y0001527548D01* +X0000679900Y0001527548D01* +X0000679999Y0001527548D01* +X0000680099Y0001527548D01* +X0000680299Y0001527648D01* +X0000680599Y0001527748D01* +X0000680900Y0001527948D01* +X0000681500Y0001528248D01* +X0000682100Y0001528648D01* +X0000682199Y0001528648D01* +X0000682800Y0001528948D01* +X0000683299Y0001529248D01* +X0000683799Y0001529448D01* +X0000684099Y0001529548D01* +X0000684300Y0001529648D01* +X0000684399Y0001529648D01* +X0000684500Y0001529648D01* +X0000684699Y0001529448D01* +X0000685100Y0001529248D01* +X0000685499Y0001528948D01* +X0000686099Y0001528548D01* +X0000686900Y0001528148D01* +X0000687800Y0001527548D01* +X0000688800Y0001526748D01* +X0000688999Y0001526648D01* +X0000689799Y0001526048D01* +X0000690599Y0001525548D01* +X0000691300Y0001525048D01* +X0000691999Y0001524648D01* +X0000692499Y0001524248D01* +X0000693000Y0001523948D01* +X0000693300Y0001523748D01* +X0000693500Y0001523648D01* +X0000693500Y0001523648D01* +X0000693800Y0001523648D01* +X0000693899Y0001523648D01* +X0000694000Y0001523748D01* +X0000694300Y0001523948D01* +X0000694600Y0001524348D01* +X0000695099Y0001524748D01* +X0000695599Y0001525248D01* +X0000696099Y0001525748D01* +X0000696800Y0001526448D01* +X0000697399Y0001527048D01* +X0000698100Y0001527748D01* +X0000698700Y0001528348D01* +X0000699399Y0001529048D01* +X0000700000Y0001529648D01* +X0000700499Y0001530148D01* +X0000700999Y0001530648D01* +X0000701400Y0001531148D01* +X0000701700Y0001531448D01* +X0000701900Y0001531648D01* +X0000702000Y0001531748D01* +X0000702099Y0001531948D01* +X0000702099Y0001532148D01* +X0000702000Y0001532248D01* +X0000701799Y0001532548D01* +X0000701599Y0001532948D01* +X0000701199Y0001533448D01* +X0000700800Y0001534048D01* +X0000700399Y0001534748D01* +X0000699800Y0001535448D01* +X0000699300Y0001536348D01* +X0000699000Y0001536748D01* +X0000698299Y0001537748D01* +X0000697699Y0001538648D01* +X0000697199Y0001539348D01* +X0000696800Y0001539948D01* +X0000696500Y0001540448D01* +X0000696300Y0001540848D01* +X0000696099Y0001541148D01* +X0000696000Y0001541348D01* +X0000695900Y0001541448D01* +X0000695900Y0001541548D01* +X0000696000Y0001541648D01* +X0000696099Y0001541948D01* +X0000696200Y0001542348D01* +X0000696399Y0001542948D01* +X0000696700Y0001543548D01* +X0000696899Y0001544248D01* +X0000697199Y0001545048D01* +X0000697499Y0001545848D01* +X0000697800Y0001546648D01* +X0000698199Y0001547348D01* +X0000698400Y0001548148D01* +X0000698700Y0001548748D01* +X0000698900Y0001549348D01* +X0000699099Y0001549848D01* +X0000699300Y0001550148D01* +X0000699300Y0001550248D01* +X0000699500Y0001550448D01* +X0000699800Y0001550648D01* +X0000700000Y0001550648D01* +X0000700300Y0001550748D01* +X0000700800Y0001550848D01* +X0000701400Y0001550948D01* +X0000702099Y0001551048D01* +X0000703000Y0001551248D01* +X0000703900Y0001551448D01* +X0000704900Y0001551548D01* +X0000705300Y0001551648D01* +X0000706399Y0001551848D01* +X0000707400Y0001552048D01* +X0000708200Y0001552248D01* +X0000708800Y0001552348D01* +X0000709399Y0001552448D01* +X0000709800Y0001552548D01* +X0000710100Y0001552648D01* +X0000710299Y0001552648D01* +X0000710499Y0001552748D01* +X0000710599Y0001552748D01* +X0000710700Y0001552848D01* +X0000710700Y0001552848D01* +X0000710900Y0001553148D01* +X0000710900Y0001558748D01* +X0000710900Y0001559948D01* +X0000710900Y0001561148D01* +X0000710900Y0001562148D01* +X0000710900Y0001562948D01* +X0000710900Y0001563648D01* +X0000710799Y0001564048D01* +X0000710799Y0001564348D01* +X0000710799Y0001564448D01* +X0000710599Y0001564648D01* +X0000710499Y0001564748D01* +X0000710400Y0001564748D01* +X0000710100Y0001564848D01* +X0000709600Y0001564948D01* +X0000709000Y0001565048D01* +X0000708300Y0001565148D01* +X0000707499Y0001565348D01* +X0000706600Y0001565448D01* +X0000705599Y0001565648D01* +X0000704900Y0001565848D01* +X0000703600Y0001566048D01* +X0000702399Y0001566248D01* +X0000701400Y0001566448D01* +X0000700600Y0001566648D01* +X0000700000Y0001566748D01* +X0000699599Y0001566848D01* +X0000699300Y0001566948D01* +X0000699300Y0001566948D01* +X0000699200Y0001567048D01* +X0000699099Y0001567348D01* +X0000698900Y0001567748D01* +X0000698599Y0001568248D01* +X0000698299Y0001569048D01* +X0000697900Y0001569848D01* +X0000697399Y0001570948D01* +X0000697399Y0001571148D01* +X0000696899Y0001572148D01* +X0000696599Y0001572948D01* +X0000696300Y0001573648D01* +X0000696099Y0001574148D01* +X0000695900Y0001574548D01* +X0000695799Y0001574948D01* +X0000695700Y0001575148D01* +X0000695700Y0001575348D01* +X0000695700Y0001575448D01* +X0000695700Y0001575548D01* +X0000695700Y0001575648D01* +X0000695700Y0001575748D01* +X0000695799Y0001575948D01* +X0000696000Y0001576148D01* +X0000696200Y0001576548D01* +X0000696399Y0001576948D01* +X0000696800Y0001577448D01* +X0000697199Y0001578048D01* +X0000697699Y0001578848D01* +X0000698299Y0001579648D01* +X0000698799Y0001580448D01* +X0000699399Y0001581348D01* +X0000700000Y0001582148D01* +X0000700499Y0001582848D01* +X0000700900Y0001583548D01* +X0000701299Y0001584148D01* +X0000701700Y0001584648D01* +X0000701900Y0001584948D01* +X0000702000Y0001585148D01* +X0000702099Y0001585248D01* +X0000702099Y0001585448D01* +X0000702000Y0001585648D01* +X0000701900Y0001585848D01* +X0000701700Y0001586048D01* +X0000701400Y0001586348D01* +X0000700900Y0001586848D01* +X0000700399Y0001587348D01* +X0000699899Y0001587948D01* +X0000699300Y0001588548D01* +X0000698599Y0001589148D01* +X0000697999Y0001589848D01* +X0000697300Y0001590448D01* +X0000696700Y0001591048D01* +X0000696099Y0001591748D01* +X0000695499Y0001592248D01* +X0000694999Y0001592748D01* +X0000694600Y0001593148D01* +X0000694199Y0001593448D01* +X0000694000Y0001593648D01* +X0000693899Y0001593748D01* +X0000693899Y0001593748D01* +X0000693599Y0001593748D01* +X0000693500Y0001593748D01* +X0000693399Y0001593748D01* +X0000693200Y0001593548D01* +X0000692799Y0001593348D01* +X0000692299Y0001592948D01* +X0000691699Y0001592548D01* +X0000691000Y0001592148D01* +X0000690200Y0001591548D01* +X0000689400Y0001591048D01* +X0000688699Y0001590548D01* +X0000687800Y0001589948D01* +X0000687000Y0001589348D01* +X0000686200Y0001588848D01* +X0000685499Y0001588348D01* +X0000684899Y0001587948D01* +X0000684399Y0001587648D01* +X0000684000Y0001587448D01* +X0000683799Y0001587248D01* +X0000683700Y0001587248D01* +X0000683599Y0001587248D01* +X0000683299Y0001587248D01* +X0000682999Y0001587448D01* +X0000682499Y0001587548D01* +X0000681899Y0001587848D01* +X0000681099Y0001588148D01* +X0000680200Y0001588548D01* +X0000679600Y0001588748D01* +X0000678699Y0001589048D01* +X0000678000Y0001589448D01* +X0000677299Y0001589748D01* +X0000676600Y0001589948D01* +X0000676100Y0001590148D01* +X0000675800Y0001590348D01* +X0000675500Y0001590448D01* +X0000675500Y0001590448D01* +X0000675300Y0001590648D01* +X0000675200Y0001590948D01* +X0000675200Y0001590948D01* +X0000675099Y0001591048D01* +X0000675099Y0001591448D01* +X0000675000Y0001591948D01* +X0000674900Y0001592548D01* +X0000674799Y0001593248D01* +X0000674599Y0001594148D01* +X0000674499Y0001595048D01* +X0000674299Y0001596048D01* +X0000674200Y0001596748D01* +X0000673900Y0001597948D01* +X0000673800Y0001598948D01* +X0000673600Y0001599748D01* +X0000673499Y0001600448D01* +X0000673400Y0001600948D01* +X0000673300Y0001601448D01* +X0000673199Y0001601748D01* +X0000673100Y0001601948D01* +X0000673100Y0001602148D01* +X0000673000Y0001602248D01* +X0000673000Y0001602348D01* +X0000672899Y0001602448D01* +X0000672699Y0001602648D01* +X0000667000Y0001602648D01* +X0000661300Y0001602648D01* +X0000661100Y0001602448D01* +X0000660899Y0001602248D01* +X0000660899Y0001602048D01* +X0000660899Y0001602048D01* +X0000660800Y0001601948D01* +X0000660800Y0001601648D01* +X0000660699Y0001601148D01* +X0000660599Y0001600548D01* +X0000660500Y0001599848D01* +X0000660300Y0001599148D01* +X0000660200Y0001598248D01* +X0000660000Y0001597348D01* +X0000659799Y0001596448D01* +X0000659700Y0001595548D01* +X0000659499Y0001594648D01* +X0000659299Y0001593848D01* +X0000659200Y0001593048D01* +X0000658999Y0001592348D01* +X0000658899Y0001591748D01* +X0000658799Y0001591248D01* +X0000658699Y0001590948D01* +X0000658699Y0001590748D01* +X0000658699Y0001590748D01* +X0000658699Y0001590648D01* +X0000658600Y0001590648D01* +X0000658499Y0001590548D01* +X0000658400Y0001590448D01* +X0000658300Y0001590348D01* +X0000658000Y0001590248D01* +X0000657699Y0001590148D01* +X0000657300Y0001589948D01* +X0000656700Y0001589648D01* +X0000655999Y0001589448D01* +X0000655100Y0001589048D01* +X0000654300Y0001588748D01* +X0000653299Y0001588248D01* +X0000652400Y0001587948D01* +X0000651699Y0001587648D01* +X0000651200Y0001587448D01* +X0000650799Y0001587348D01* +X0000650499Y0001587248D01* +X0000650299Y0001587248D01* +X0000650299Y0001587248D01* +X0000650100Y0001587248D01* +X0000649899Y0001587448D01* +X0000649499Y0001587748D01* +X0000648899Y0001588048D01* +X0000648300Y0001588448D01* +X0000647599Y0001588948D01* +X0000646799Y0001589448D01* +X0000646000Y0001590048D01* +X0000645300Y0001590548D01* +X0000644499Y0001591148D01* +X0000643600Y0001591648D01* +X0000642899Y0001592148D01* +X0000642200Y0001592648D01* +X0000641599Y0001593048D01* +X0000641100Y0001593348D01* +X0000640799Y0001593648D01* +X0000640499Y0001593748D01* +X0000640400Y0001593848D01* +X0000640199Y0001593848D01* +X0000640000Y0001593748D01* +X0000639899Y0001593648D01* +X0000639599Y0001593448D01* +X0000639300Y0001593048D01* +X0000638900Y0001592648D01* +X0000638299Y0001592148D01* +X0000637799Y0001591548D01* +X0000637199Y0001590948D01* +X0000636500Y0001590348D01* +X0000635799Y0001589648D01* +X0000635200Y0001589048D01* +X0000634600Y0001588348D01* +X0000633899Y0001587748D01* +X0000633399Y0001587248D01* +X0000632900Y0001586748D01* +X0000632499Y0001586248D01* +X0000632200Y0001585948D01* +X0000631999Y0001585748D01* +X0000631900Y0001585648D01* +X0000631900Y0001585348D01* +X0000631900Y0001585248D01* +X0000631900Y0001585148D01* +X0000632100Y0001584848D01* +X0000632400Y0001584448D01* +X0000632700Y0001583948D01* +X0000633099Y0001583348D01* +X0000633599Y0001582648D01* +X0000634100Y0001581948D01* +X0000634699Y0001581048D01* +X0000635100Y0001580448D01* +X0000635700Y0001579648D01* +X0000636200Y0001578848D01* +X0000636699Y0001578048D01* +X0000637199Y0001577348D01* +X0000637600Y0001576848D01* +X0000637900Y0001576348D01* +X0000638100Y0001575948D01* +X0000638299Y0001575748D01* +X0000638299Y0001575648D01* +X0000638299Y0001575648D01* +X0000638400Y0001575548D01* +X0000638400Y0001575448D01* +X0000638299Y0001575348D01* +X0000638299Y0001575148D01* +X0000638200Y0001574848D01* +X0000638100Y0001574548D01* +X0000637900Y0001574148D01* +X0000637699Y0001573548D01* +X0000637400Y0001572948D01* +X0000637000Y0001572148D01* +X0000636599Y0001571148D01* +X0000636500Y0001570948D01* +X0000636200Y0001570048D01* +X0000635799Y0001569248D01* +X0000635499Y0001568548D01* +X0000635200Y0001567948D01* +X0000634999Y0001567548D01* +X0000634799Y0001567148D01* +X0000634699Y0001567048D01* +X0000634699Y0001567048D01* +X0000634600Y0001566948D01* +X0000634499Y0001566948D01* +X0000634199Y0001566848D01* +X0000633899Y0001566748D01* +X0000633500Y0001566648D01* +X0000632900Y0001566548D01* +X0000632100Y0001566448D01* +X0000631300Y0001566248D01* +X0000630200Y0001566048D01* +X0000630099Y0001566048D01* +X0000628799Y0001565748D01* +X0000627699Y0001565548D01* +X0000626700Y0001565348D01* +X0000625900Y0001565248D01* +X0000625300Y0001565148D01* +X0000624699Y0001565048D01* +X0000624300Y0001564948D01* +X0000624000Y0001564848D01* +X0000623700Y0001564748D01* +X0000623500Y0001564748D01* +X0000623400Y0001564648D01* +X0000623299Y0001564648D01* +X0000623299Y0001564648D01* +X0000623200Y0001564548D01* +X0000623200Y0001564548D01* +X0000623200Y0001564448D01* +X0000623200Y0001564348D01* +X0000623100Y0001564248D01* +X0000623100Y0001564148D01* +X0000623100Y0001563848D01* +X0000623100Y0001563548D01* +X0000623100Y0001563148D01* +X0000623100Y0001562648D01* +X0000622999Y0001561948D01* +X0000622999Y0001561148D01* +X0000622999Y0001560248D01* +X0000622999Y0001559148D01* +X0000622999Y0001558748D01* +X0000622999Y0001553148D01* +X0000622999Y0001553148D01* +G36* +X0000580400Y0001538548D02* +X0000580400Y0001538348D01* +X0000580499Y0001537648D01* +X0000580600Y0001537148D01* +X0000580699Y0001536848D01* +X0000580799Y0001536548D01* +X0000580900Y0001536348D01* +X0000581100Y0001536248D01* +X0000581299Y0001536048D01* +X0000581500Y0001535948D01* +X0000581799Y0001535848D01* +X0000582099Y0001535748D01* +X0000582500Y0001535748D01* +X0000582899Y0001535748D01* +X0000583499Y0001535748D01* +X0000584200Y0001535748D01* +X0000585000Y0001535848D01* +X0000585899Y0001536048D01* +X0000586100Y0001536048D01* +X0000586900Y0001536148D01* +X0000587599Y0001536248D01* +X0000588200Y0001536348D01* +X0000588899Y0001536348D01* +X0000589499Y0001536448D01* +X0000590299Y0001536448D01* +X0000591200Y0001536548D01* +X0000591500Y0001536548D01* +X0000592799Y0001536548D01* +X0000593899Y0001536648D01* +X0000594800Y0001536648D01* +X0000595499Y0001536748D01* +X0000596100Y0001536748D01* +X0000596599Y0001536748D01* +X0000597000Y0001536848D01* +X0000597300Y0001536848D01* +X0000597599Y0001536848D01* +X0000597699Y0001536948D01* +X0000597899Y0001536948D01* +X0000598000Y0001537048D01* +X0000598499Y0001537348D01* +X0000598799Y0001537648D01* +X0000598900Y0001537848D01* +X0000598999Y0001538348D01* +X0000598999Y0001538848D01* +X0000598900Y0001539348D01* +X0000598799Y0001539548D01* +X0000598699Y0001539948D01* +X0000598699Y0001540348D01* +X0000598799Y0001540948D01* +X0000598900Y0001541248D01* +X0000598999Y0001541648D01* +X0000598900Y0001541948D01* +X0000598799Y0001542248D01* +X0000598499Y0001542648D01* +X0000598199Y0001542948D01* +X0000594399Y0001543048D01* +X0000592799Y0001543048D01* +X0000591300Y0001543148D01* +X0000590100Y0001543048D01* +X0000588899Y0001543048D01* +X0000588399Y0001543048D01* +X0000586199Y0001542948D01* +X0000585599Y0001542348D01* +X0000585200Y0001542048D01* +X0000584899Y0001541748D01* +X0000584500Y0001541448D01* +X0000584100Y0001541248D01* +X0000583600Y0001540948D01* +X0000582699Y0001540448D01* +X0000582000Y0001540048D01* +X0000581400Y0001539648D01* +X0000580999Y0001539348D01* +X0000580699Y0001539048D01* +X0000580499Y0001538748D01* +X0000580400Y0001538548D01* +X0000580400Y0001538548D01* +X0000580400Y0001538548D01* +G37* +X0000580400Y0001538548D02* +X0000580400Y0001538348D01* +X0000580499Y0001537648D01* +X0000580600Y0001537148D01* +X0000580699Y0001536848D01* +X0000580799Y0001536548D01* +X0000580900Y0001536348D01* +X0000581100Y0001536248D01* +X0000581299Y0001536048D01* +X0000581500Y0001535948D01* +X0000581799Y0001535848D01* +X0000582099Y0001535748D01* +X0000582500Y0001535748D01* +X0000582899Y0001535748D01* +X0000583499Y0001535748D01* +X0000584200Y0001535748D01* +X0000585000Y0001535848D01* +X0000585899Y0001536048D01* +X0000586100Y0001536048D01* +X0000586900Y0001536148D01* +X0000587599Y0001536248D01* +X0000588200Y0001536348D01* +X0000588899Y0001536348D01* +X0000589499Y0001536448D01* +X0000590299Y0001536448D01* +X0000591200Y0001536548D01* +X0000591500Y0001536548D01* +X0000592799Y0001536548D01* +X0000593899Y0001536648D01* +X0000594800Y0001536648D01* +X0000595499Y0001536748D01* +X0000596100Y0001536748D01* +X0000596599Y0001536748D01* +X0000597000Y0001536848D01* +X0000597300Y0001536848D01* +X0000597599Y0001536848D01* +X0000597699Y0001536948D01* +X0000597899Y0001536948D01* +X0000598000Y0001537048D01* +X0000598499Y0001537348D01* +X0000598799Y0001537648D01* +X0000598900Y0001537848D01* +X0000598999Y0001538348D01* +X0000598999Y0001538848D01* +X0000598900Y0001539348D01* +X0000598799Y0001539548D01* +X0000598699Y0001539948D01* +X0000598699Y0001540348D01* +X0000598799Y0001540948D01* +X0000598900Y0001541248D01* +X0000598999Y0001541648D01* +X0000598900Y0001541948D01* +X0000598799Y0001542248D01* +X0000598499Y0001542648D01* +X0000598199Y0001542948D01* +X0000594399Y0001543048D01* +X0000592799Y0001543048D01* +X0000591300Y0001543148D01* +X0000590100Y0001543048D01* +X0000588899Y0001543048D01* +X0000588399Y0001543048D01* +X0000586199Y0001542948D01* +X0000585599Y0001542348D01* +X0000585200Y0001542048D01* +X0000584899Y0001541748D01* +X0000584500Y0001541448D01* +X0000584100Y0001541248D01* +X0000583600Y0001540948D01* +X0000582699Y0001540448D01* +X0000582000Y0001540048D01* +X0000581400Y0001539648D01* +X0000580999Y0001539348D01* +X0000580699Y0001539048D01* +X0000580499Y0001538748D01* +X0000580400Y0001538548D01* +X0000580400Y0001538548D01* +G36* +X0000648899Y0001601248D02* +X0000648899Y0001601148D01* +X0000649000Y0001601248D01* +X0000649199Y0001601248D01* +X0000649499Y0001601348D01* +X0000649899Y0001601548D01* +X0000650799Y0001601948D01* +X0000650299Y0001601948D01* +X0000649999Y0001601948D01* +X0000649600Y0001601748D01* +X0000649199Y0001601648D01* +X0000649000Y0001601448D01* +X0000648899Y0001601348D01* +X0000648899Y0001601248D01* +X0000648899Y0001601248D01* +X0000648899Y0001601248D01* +G37* +X0000648899Y0001601248D02* +X0000648899Y0001601148D01* +X0000649000Y0001601248D01* +X0000649199Y0001601248D01* +X0000649499Y0001601348D01* +X0000649899Y0001601548D01* +X0000650799Y0001601948D01* +X0000650299Y0001601948D01* +X0000649999Y0001601948D01* +X0000649600Y0001601748D01* +X0000649199Y0001601648D01* +X0000649000Y0001601448D01* +X0000648899Y0001601348D01* +X0000648899Y0001601248D01* +X0000648899Y0001601248D01* +G36* +X0000643100Y0001614148D02* +X0000643199Y0001613748D01* +X0000643400Y0001613448D01* +X0000643499Y0001613348D01* +X0000643799Y0001613148D01* +X0000644100Y0001612648D01* +X0000644299Y0001612148D01* +X0000644400Y0001611648D01* +X0000644499Y0001611048D01* +X0000644400Y0001610848D01* +X0000644400Y0001610548D01* +X0000644299Y0001610148D01* +X0000644200Y0001609648D01* +X0000644100Y0001609448D01* +X0000643999Y0001609048D01* +X0000643999Y0001608648D01* +X0000643900Y0001608348D01* +X0000643900Y0001608248D01* +X0000643999Y0001607848D01* +X0000644299Y0001607548D01* +X0000644599Y0001607348D01* +X0000644900Y0001607248D01* +X0000645399Y0001607048D01* +X0000646000Y0001606948D01* +X0000646600Y0001606848D01* +X0000647100Y0001606748D01* +X0000647400Y0001606748D01* +X0000648000Y0001606648D01* +X0000648699Y0001607148D01* +X0000649000Y0001607448D01* +X0000649199Y0001607648D01* +X0000649400Y0001607948D01* +X0000649600Y0001608248D01* +X0000649799Y0001608648D01* +X0000650100Y0001609448D01* +X0000650400Y0001610248D01* +X0000650499Y0001610848D01* +X0000650599Y0001611448D01* +X0000650599Y0001611748D01* +X0000650499Y0001612148D01* +X0000650499Y0001612548D01* +X0000650400Y0001612648D01* +X0000650200Y0001612948D01* +X0000649899Y0001613348D01* +X0000649499Y0001613848D01* +X0000649100Y0001614348D01* +X0000648599Y0001614748D01* +X0000648200Y0001615148D01* +X0000648000Y0001615348D01* +X0000647599Y0001615648D01* +X0000647299Y0001616048D01* +X0000646900Y0001616348D01* +X0000646900Y0001616448D01* +X0000646400Y0001616848D01* +X0000645899Y0001617148D01* +X0000645300Y0001617248D01* +X0000644799Y0001617148D01* +X0000644400Y0001616948D01* +X0000644100Y0001616748D01* +X0000643799Y0001616548D01* +X0000643600Y0001616248D01* +X0000643499Y0001616048D01* +X0000643199Y0001615248D01* +X0000643100Y0001614648D01* +X0000643100Y0001614148D01* +X0000643100Y0001614148D01* +X0000643100Y0001614148D01* +G37* +X0000643100Y0001614148D02* +X0000643199Y0001613748D01* +X0000643400Y0001613448D01* +X0000643499Y0001613348D01* +X0000643799Y0001613148D01* +X0000644100Y0001612648D01* +X0000644299Y0001612148D01* +X0000644400Y0001611648D01* +X0000644499Y0001611048D01* +X0000644400Y0001610848D01* +X0000644400Y0001610548D01* +X0000644299Y0001610148D01* +X0000644200Y0001609648D01* +X0000644100Y0001609448D01* +X0000643999Y0001609048D01* +X0000643999Y0001608648D01* +X0000643900Y0001608348D01* +X0000643900Y0001608248D01* +X0000643999Y0001607848D01* +X0000644299Y0001607548D01* +X0000644599Y0001607348D01* +X0000644900Y0001607248D01* +X0000645399Y0001607048D01* +X0000646000Y0001606948D01* +X0000646600Y0001606848D01* +X0000647100Y0001606748D01* +X0000647400Y0001606748D01* +X0000648000Y0001606648D01* +X0000648699Y0001607148D01* +X0000649000Y0001607448D01* +X0000649199Y0001607648D01* +X0000649400Y0001607948D01* +X0000649600Y0001608248D01* +X0000649799Y0001608648D01* +X0000650100Y0001609448D01* +X0000650400Y0001610248D01* +X0000650499Y0001610848D01* +X0000650599Y0001611448D01* +X0000650599Y0001611748D01* +X0000650499Y0001612148D01* +X0000650499Y0001612548D01* +X0000650400Y0001612648D01* +X0000650200Y0001612948D01* +X0000649899Y0001613348D01* +X0000649499Y0001613848D01* +X0000649100Y0001614348D01* +X0000648599Y0001614748D01* +X0000648200Y0001615148D01* +X0000648000Y0001615348D01* +X0000647599Y0001615648D01* +X0000647299Y0001616048D01* +X0000646900Y0001616348D01* +X0000646900Y0001616448D01* +X0000646400Y0001616848D01* +X0000645899Y0001617148D01* +X0000645300Y0001617248D01* +X0000644799Y0001617148D01* +X0000644400Y0001616948D01* +X0000644100Y0001616748D01* +X0000643799Y0001616548D01* +X0000643600Y0001616248D01* +X0000643499Y0001616048D01* +X0000643199Y0001615248D01* +X0000643100Y0001614648D01* +X0000643100Y0001614148D01* +X0000643100Y0001614148D01* +G36* +X0000693700Y0001630148D02* +X0000693700Y0001629848D01* +X0000693800Y0001629648D01* +X0000693800Y0001629648D01* +X0000693899Y0001629548D01* +X0000694000Y0001629348D01* +X0000694199Y0001629048D01* +X0000694199Y0001628948D01* +X0000694399Y0001628648D01* +X0000694499Y0001628348D01* +X0000694699Y0001628248D01* +X0000694900Y0001628148D01* +X0000695200Y0001628048D01* +X0000695700Y0001627948D01* +X0000696200Y0001627848D01* +X0000696800Y0001627748D01* +X0000697399Y0001627748D01* +X0000697999Y0001627748D01* +X0000698499Y0001627748D01* +X0000698900Y0001627748D01* +X0000699099Y0001627748D01* +X0000699599Y0001627948D01* +X0000700000Y0001628248D01* +X0000700100Y0001628448D01* +X0000700199Y0001628648D01* +X0000700300Y0001629148D01* +X0000700199Y0001629748D01* +X0000700100Y0001630448D01* +X0000700000Y0001631348D01* +X0000699899Y0001631848D01* +X0000699800Y0001632248D01* +X0000699599Y0001632548D01* +X0000699399Y0001632748D01* +X0000699099Y0001632948D01* +X0000698799Y0001633048D01* +X0000698299Y0001633248D01* +X0000697800Y0001633348D01* +X0000697199Y0001633448D01* +X0000696700Y0001633448D01* +X0000696200Y0001633448D01* +X0000696000Y0001633448D01* +X0000695400Y0001633248D01* +X0000694900Y0001632848D01* +X0000694399Y0001632348D01* +X0000694000Y0001631748D01* +X0000693899Y0001631348D01* +X0000693800Y0001630948D01* +X0000693700Y0001630548D01* +X0000693700Y0001630148D01* +X0000693700Y0001630148D01* +X0000693700Y0001630148D01* +G37* +X0000693700Y0001630148D02* +X0000693700Y0001629848D01* +X0000693800Y0001629648D01* +X0000693800Y0001629648D01* +X0000693899Y0001629548D01* +X0000694000Y0001629348D01* +X0000694199Y0001629048D01* +X0000694199Y0001628948D01* +X0000694399Y0001628648D01* +X0000694499Y0001628348D01* +X0000694699Y0001628248D01* +X0000694900Y0001628148D01* +X0000695200Y0001628048D01* +X0000695700Y0001627948D01* +X0000696200Y0001627848D01* +X0000696800Y0001627748D01* +X0000697399Y0001627748D01* +X0000697999Y0001627748D01* +X0000698499Y0001627748D01* +X0000698900Y0001627748D01* +X0000699099Y0001627748D01* +X0000699599Y0001627948D01* +X0000700000Y0001628248D01* +X0000700100Y0001628448D01* +X0000700199Y0001628648D01* +X0000700300Y0001629148D01* +X0000700199Y0001629748D01* +X0000700100Y0001630448D01* +X0000700000Y0001631348D01* +X0000699899Y0001631848D01* +X0000699800Y0001632248D01* +X0000699599Y0001632548D01* +X0000699399Y0001632748D01* +X0000699099Y0001632948D01* +X0000698799Y0001633048D01* +X0000698299Y0001633248D01* +X0000697800Y0001633348D01* +X0000697199Y0001633448D01* +X0000696700Y0001633448D01* +X0000696200Y0001633448D01* +X0000696000Y0001633448D01* +X0000695400Y0001633248D01* +X0000694900Y0001632848D01* +X0000694399Y0001632348D01* +X0000694000Y0001631748D01* +X0000693899Y0001631348D01* +X0000693800Y0001630948D01* +X0000693700Y0001630548D01* +X0000693700Y0001630148D01* +X0000693700Y0001630148D01* +G36* +X0000669399Y0001667348D02* +X0000669399Y0001666948D01* +X0000669599Y0001666648D01* +X0000669800Y0001666448D01* +X0000670000Y0001666348D01* +X0000670300Y0001666148D01* +X0000670600Y0001665748D01* +X0000670899Y0001665148D01* +X0000671100Y0001664448D01* +X0000671200Y0001664048D01* +X0000671400Y0001663248D01* +X0000670999Y0001661948D01* +X0000670800Y0001661048D01* +X0000670699Y0001660248D01* +X0000670600Y0001659648D01* +X0000670499Y0001659248D01* +X0000670600Y0001659048D01* +X0000670699Y0001658748D01* +X0000670999Y0001658448D01* +X0000671200Y0001658148D01* +X0000671400Y0001658048D01* +X0000671700Y0001657948D01* +X0000672099Y0001657848D01* +X0000672599Y0001657748D01* +X0000673199Y0001657648D01* +X0000673699Y0001657448D01* +X0000674200Y0001657348D01* +X0000674599Y0001657348D01* +X0000674599Y0001657348D01* +X0000675099Y0001657248D01* +X0000675599Y0001657248D01* +X0000675899Y0001657248D01* +X0000676199Y0001657348D01* +X0000676499Y0001657548D01* +X0000676600Y0001657548D01* +X0000677100Y0001657948D01* +X0000677499Y0001658248D01* +X0000677799Y0001658548D01* +X0000678000Y0001658948D01* +X0000678300Y0001659448D01* +X0000678399Y0001659848D01* +X0000678899Y0001660848D01* +X0000679199Y0001661748D01* +X0000679400Y0001662548D01* +X0000679600Y0001663348D01* +X0000679600Y0001663948D01* +X0000679600Y0001664548D01* +X0000679400Y0001665048D01* +X0000679400Y0001665348D01* +X0000679199Y0001665548D01* +X0000679100Y0001665848D01* +X0000678899Y0001666148D01* +X0000678599Y0001666448D01* +X0000678200Y0001666948D01* +X0000677799Y0001667348D01* +X0000677299Y0001667848D01* +X0000676999Y0001668248D01* +X0000676600Y0001668548D01* +X0000676499Y0001668648D01* +X0000675899Y0001669148D01* +X0000675500Y0001669548D01* +X0000675099Y0001669848D01* +X0000675000Y0001670148D01* +X0000674700Y0001670348D01* +X0000674499Y0001670648D01* +X0000674499Y0001670648D01* +X0000673900Y0001671148D01* +X0000673400Y0001671548D01* +X0000672699Y0001671748D01* +X0000672099Y0001671748D01* +X0000671499Y0001671548D01* +X0000671299Y0001671448D01* +X0000670899Y0001671148D01* +X0000670600Y0001670948D01* +X0000670300Y0001670748D01* +X0000670199Y0001670448D01* +X0000670000Y0001669948D01* +X0000669800Y0001669648D01* +X0000669599Y0001669048D01* +X0000669500Y0001668548D01* +X0000669399Y0001668148D01* +X0000669399Y0001667848D01* +X0000669399Y0001667348D01* +X0000669399Y0001667348D01* +X0000669399Y0001667348D01* +G37* +X0000669399Y0001667348D02* +X0000669399Y0001666948D01* +X0000669599Y0001666648D01* +X0000669800Y0001666448D01* +X0000670000Y0001666348D01* +X0000670300Y0001666148D01* +X0000670600Y0001665748D01* +X0000670899Y0001665148D01* +X0000671100Y0001664448D01* +X0000671200Y0001664048D01* +X0000671400Y0001663248D01* +X0000670999Y0001661948D01* +X0000670800Y0001661048D01* +X0000670699Y0001660248D01* +X0000670600Y0001659648D01* +X0000670499Y0001659248D01* +X0000670600Y0001659048D01* +X0000670699Y0001658748D01* +X0000670999Y0001658448D01* +X0000671200Y0001658148D01* +X0000671400Y0001658048D01* +X0000671700Y0001657948D01* +X0000672099Y0001657848D01* +X0000672599Y0001657748D01* +X0000673199Y0001657648D01* +X0000673699Y0001657448D01* +X0000674200Y0001657348D01* +X0000674599Y0001657348D01* +X0000674599Y0001657348D01* +X0000675099Y0001657248D01* +X0000675599Y0001657248D01* +X0000675899Y0001657248D01* +X0000676199Y0001657348D01* +X0000676499Y0001657548D01* +X0000676600Y0001657548D01* +X0000677100Y0001657948D01* +X0000677499Y0001658248D01* +X0000677799Y0001658548D01* +X0000678000Y0001658948D01* +X0000678300Y0001659448D01* +X0000678399Y0001659848D01* +X0000678899Y0001660848D01* +X0000679199Y0001661748D01* +X0000679400Y0001662548D01* +X0000679600Y0001663348D01* +X0000679600Y0001663948D01* +X0000679600Y0001664548D01* +X0000679400Y0001665048D01* +X0000679400Y0001665348D01* +X0000679199Y0001665548D01* +X0000679100Y0001665848D01* +X0000678899Y0001666148D01* +X0000678599Y0001666448D01* +X0000678200Y0001666948D01* +X0000677799Y0001667348D01* +X0000677299Y0001667848D01* +X0000676999Y0001668248D01* +X0000676600Y0001668548D01* +X0000676499Y0001668648D01* +X0000675899Y0001669148D01* +X0000675500Y0001669548D01* +X0000675099Y0001669848D01* +X0000675000Y0001670148D01* +X0000674700Y0001670348D01* +X0000674499Y0001670648D01* +X0000674499Y0001670648D01* +X0000673900Y0001671148D01* +X0000673400Y0001671548D01* +X0000672699Y0001671748D01* +X0000672099Y0001671748D01* +X0000671499Y0001671548D01* +X0000671299Y0001671448D01* +X0000670899Y0001671148D01* +X0000670600Y0001670948D01* +X0000670300Y0001670748D01* +X0000670199Y0001670448D01* +X0000670000Y0001669948D01* +X0000669800Y0001669648D01* +X0000669599Y0001669048D01* +X0000669500Y0001668548D01* +X0000669399Y0001668148D01* +X0000669399Y0001667848D01* +X0000669399Y0001667348D01* +X0000669399Y0001667348D01* +M02* \ No newline at end of file diff --git a/panel/combined.gbs b/panel/combined.gbs new file mode 100755 index 0000000..3e30269 --- /dev/null +++ b/panel/combined.gbs @@ -0,0 +1,51 @@ +%MOIN*% +%OFA0B0*% +%FSLAX46Y46*% +%IPPOS*% +%LPD*% +%ADD10C,0.0039370078740157488*% +%ADD11R,0.13385826771653545X0.13385826771653545*% +%ADD12C,0.13385826771653545*% +%ADD13C,0.11414803149606301*% +%ADD24C,0.0039370078740157488*% +%ADD25R,0.13385826771653545X0.13385826771653545*% +%ADD26C,0.13385826771653545*% +%ADD27C,0.11414803149606301*% +%LPD*% +G01G01* +D10* +D11* +X-0005000000Y0003425196D02* +X0001400000Y0000400196D03* +D12* +X0001400000Y0000600196D03* +D11* +X0000150000Y0000600196D03* +D12* +X0000150000Y0000400196D03* +D13* +X0001000000Y0000275196D03* +X0000799999Y0000175196D03* +X0000499999Y0000275196D03* +G04 next file* +G04 #@! TF.FileFunction,Soldermask,Bot* +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* +G01G01* +G04 APERTURE LIST* +G04 APERTURE END LIST* +D24* +D25* +X-0005000000Y0004409448D02* +X0001400000Y0001384448D03* +D26* +X0001400000Y0001584448D03* +D25* +X0000150000Y0001584448D03* +D26* +X0000150000Y0001384448D03* +D27* +X0001000000Y0001259448D03* +X0000799999Y0001159448D03* +X0000499999Y0001259448D03* +M02* \ No newline at end of file diff --git a/panel/combined.gtl b/panel/combined.gtl new file mode 100755 index 0000000..f513580 --- /dev/null +++ b/panel/combined.gtl @@ -0,0 +1,3847 @@ +%MOIN*% +%OFA0B0*% +%FSLAX46Y46*% +%IPPOS*% +%LPD*% +%ADD10C,0.0039370078740157488*% +%ADD11R,0.2677X0.2461*% +%ADD12R,0.11810000000000001X0.0319*% +%ADD13R,0.11811023622047245X0.11811023622047245*% +%ADD14C,0.11811023622047245*% +%ADD15R,0.025X0.045000000000000005*% +%ADD16C,0.0984*% +%ADD17R,0.039400000000000004X0.0236*% +%ADD18C,0.023622047244094488*% +%ADD19C,0.01968503937007874*% +%ADD20C,0.029527559055118113*% +%ADD21C,0.0066929133858267724*% +%ADD32C,0.0039370078740157488*% +%ADD33R,0.2677X0.2461*% +%ADD34R,0.11810000000000001X0.0319*% +%ADD35R,0.11811023622047245X0.11811023622047245*% +%ADD36C,0.11811023622047245*% +%ADD37R,0.025X0.045000000000000005*% +%ADD38C,0.0984*% +%ADD39R,0.039400000000000004X0.0236*% +%ADD40C,0.023622047244094488*% +%ADD41C,0.01968503937007874*% +%ADD42C,0.029527559055118113*% +%ADD43C,0.0066929133858267724*% +%LPD*% +G01G01* +D10* +D11* +X-0005000000Y0003425196D02* +X0000549999Y0000600196D03* +D12* +X0000809799Y0000500196D03* +X0000809799Y0000550196D03* +X0000809799Y0000650196D03* +X0000809799Y0000700196D03* +D13* +X0001400000Y0000400196D03* +D14* +X0001400000Y0000600196D03* +D15* +X0001120000Y0000825196D03* +X0001180000Y0000825196D03* +X0001120000Y0000750196D03* +X0001180000Y0000750196D03* +D13* +X0000150000Y0000600196D03* +D14* +X0000150000Y0000400196D03* +D15* +X0000994999Y0000825196D03* +X0001054999Y0000825196D03* +X0000994999Y0000750196D03* +X0001054999Y0000750196D03* +X0001044999Y0000450196D03* +X0001105000Y0000450196D03* +X0001120000Y0000650196D03* +X0001180000Y0000650196D03* +D16* +X0001000000Y0000275196D03* +X0000799999Y0000175196D03* +X0000499999Y0000275196D03* +D17* +X0001166600Y0000512696D03* +X0001083400Y0000550196D03* +X0001166600Y0000587696D03* +D15* +X0001044999Y0000375196D03* +X0001105000Y0000375196D03* +X0000369999Y0000375196D03* +X0000429999Y0000375196D03* +D18* +X0000574999Y0000450196D03* +X0000549999Y0000450196D03* +X0000525000Y0000450196D03* +X0000499999Y0000450196D03* +X0000474999Y0000450196D03* +X0000450000Y0000450196D03* +X0000424999Y0000450196D03* +X0000399999Y0000450196D03* +X0000375000Y0000450196D03* +X0000375000Y0000475196D03* +X0000375000Y0000500196D03* +X0000375000Y0000525196D03* +X0000375000Y0000550196D03* +X0000375000Y0000575196D03* +X0000375000Y0000600196D03* +X0000375000Y0000625196D03* +X0000375000Y0000650196D03* +X0000375000Y0000675196D03* +X0000375000Y0000700196D03* +X0000375000Y0000725196D03* +X0000375000Y0000750196D03* +X0000600000Y0000450196D03* +X0000625000Y0000450196D03* +X0000399999Y0000750196D03* +X0000549999Y0000750196D03* +X0000525000Y0000750196D03* +X0000499999Y0000750196D03* +X0000474999Y0000750196D03* +X0000450000Y0000750196D03* +X0000424999Y0000750196D03* +X0000574999Y0000750196D03* +X0000600000Y0000750196D03* +X0000625000Y0000750196D03* +X0000925000Y0000700196D03* +X0000900000Y0000700196D03* +X0000900000Y0000500196D03* +X0000949999Y0000475196D03* +X0000949999Y0000500196D03* +X0000925000Y0000500196D03* +X0000900000Y0000475196D03* +X0000925000Y0000475196D03* +X0000949999Y0000450196D03* +X0000925000Y0000450196D03* +X0000900000Y0000450196D03* +X0000874999Y0000450196D03* +X0000850000Y0000450196D03* +X0000799999Y0000450196D03* +X0000825000Y0000450196D03* +X0000949999Y0000700196D03* +X0000949999Y0000725196D03* +X0000925000Y0000725196D03* +X0000900000Y0000725196D03* +X0000949999Y0000750196D03* +X0000799999Y0000750196D03* +X0000825000Y0000750196D03* +X0000850000Y0000750196D03* +X0000874999Y0000750196D03* +X0000900000Y0000750196D03* +X0000925000Y0000750196D03* +X0000775000Y0000450196D03* +X0000750000Y0000450196D03* +X0000775000Y0000750196D03* +X0000750000Y0000750196D03* +D19* +X0000549999Y0000450196D02* +X0000574999Y0000450196D01* +X0000574999Y0000450196D02* +X0000600000Y0000450196D01* +X0000600000Y0000450196D02* +X0000625000Y0000450196D01* +X0000549999Y0000600196D02* +X0000375000Y0000600196D01* +X0000549999Y0000450196D02* +X0000549999Y0000600196D01* +X0000399999Y0000750196D02* +X0000375000Y0000750196D01* +X0000375000Y0000750196D02* +X0000375000Y0000725196D01* +X0000375000Y0000725196D02* +X0000375000Y0000700196D01* +X0000375000Y0000700196D02* +X0000375000Y0000675196D01* +X0000375000Y0000675196D02* +X0000375000Y0000650196D01* +X0000375000Y0000650196D02* +X0000375000Y0000625196D01* +X0000375000Y0000625196D02* +X0000375000Y0000600196D01* +X0000399999Y0000750196D02* +X0000424999Y0000750196D01* +X0000450000Y0000750196D02* +X0000424999Y0000750196D01* +X0000474999Y0000750196D02* +X0000450000Y0000750196D01* +X0000499999Y0000750196D02* +X0000474999Y0000750196D01* +X0000525000Y0000750196D02* +X0000499999Y0000750196D01* +X0000549999Y0000750196D02* +X0000525000Y0000750196D01* +X0000549999Y0000750196D02* +X0000549999Y0000600196D01* +X0000375000Y0000450196D02* +X0000399999Y0000450196D01* +X0000399999Y0000450196D02* +X0000424999Y0000450196D01* +X0000424999Y0000450196D02* +X0000450000Y0000450196D01* +X0000450000Y0000450196D02* +X0000474999Y0000450196D01* +X0000474999Y0000450196D02* +X0000499999Y0000450196D01* +X0000499999Y0000450196D02* +X0000525000Y0000450196D01* +X0000525000Y0000450196D02* +X0000549999Y0000450196D01* +X0000375000Y0000600196D02* +X0000375000Y0000575196D01* +X0000375000Y0000575196D02* +X0000375000Y0000550196D01* +X0000375000Y0000550196D02* +X0000375000Y0000525196D01* +X0000375000Y0000525196D02* +X0000375000Y0000500196D01* +X0000375000Y0000500196D02* +X0000375000Y0000475196D01* +X0000375000Y0000475196D02* +X0000375000Y0000450196D01* +X0000549999Y0000750196D02* +X0000574999Y0000750196D01* +X0000574999Y0000750196D02* +X0000600000Y0000750196D01* +X0000600000Y0000750196D02* +X0000625000Y0000750196D01* +X0000994999Y0000825196D02* +X0000600000Y0000825196D01* +X0000549999Y0000775196D02* +X0000549999Y0000750196D01* +X0000600000Y0000825196D02* +X0000549999Y0000775196D01* +D20* +X0001054999Y0000825196D02* +X0001120000Y0000825196D01* +X0001054999Y0000750196D02* +X0001120000Y0000750196D01* +D19* +X0000949999Y0000475196D02* +X0000949999Y0000500196D01* +X0000925000Y0000500196D02* +X0000949999Y0000500196D01* +X0000925000Y0000500196D02* +X0000900000Y0000500196D01* +X0000900000Y0000475196D02* +X0000925000Y0000475196D01* +X0000925000Y0000475196D02* +X0000949999Y0000475196D01* +X0000850000Y0000450196D02* +X0000874999Y0000450196D01* +X0000874999Y0000450196D02* +X0000900000Y0000450196D01* +X0000900000Y0000450196D02* +X0000925000Y0000450196D01* +X0000949999Y0000450196D02* +X0000925000Y0000450196D01* +X0000825000Y0000450196D02* +X0000799999Y0000450196D01* +X0000825000Y0000450196D02* +X0000850000Y0000450196D01* +X0000949999Y0000475196D02* +X0000949999Y0000450196D01* +X0000900000Y0000700196D02* +X0000925000Y0000700196D01* +X0000925000Y0000700196D02* +X0000949999Y0000700196D01* +X0000949999Y0000700196D02* +X0000949999Y0000725196D01* +X0000925000Y0000725196D02* +X0000949999Y0000725196D01* +X0000900000Y0000725196D02* +X0000925000Y0000725196D01* +X0000949999Y0000725196D02* +X0000949999Y0000750196D01* +X0000949999Y0000750196D02* +X0000925000Y0000750196D01* +X0000900000Y0000750196D02* +X0000925000Y0000750196D01* +X0000874999Y0000750196D02* +X0000900000Y0000750196D01* +X0000850000Y0000750196D02* +X0000874999Y0000750196D01* +X0000825000Y0000750196D02* +X0000850000Y0000750196D01* +X0000799999Y0000750196D02* +X0000825000Y0000750196D01* +X0000775000Y0000450196D02* +X0000799999Y0000450196D01* +X0000750000Y0000450196D02* +X0000775000Y0000450196D01* +X0000809799Y0000459996D02* +X0000799999Y0000450196D01* +X0000809799Y0000500196D02* +X0000809799Y0000459996D01* +X0000900000Y0000700196D02* +X0000809799Y0000700196D01* +X0000750000Y0000750196D02* +X0000775000Y0000750196D01* +X0000775000Y0000750196D02* +X0000799999Y0000750196D01* +X0000809799Y0000740396D02* +X0000799999Y0000750196D01* +X0000809799Y0000740396D02* +X0000809799Y0000700196D01* +X0000809799Y0000650196D02* +X0001120000Y0000650196D01* +X0001166600Y0000512696D02* +X0001166600Y0000466796D01* +X0001150000Y0000450196D02* +X0001105000Y0000450196D01* +X0001166600Y0000466796D02* +X0001150000Y0000450196D01* +X0000809799Y0000550196D02* +X0001083400Y0000550196D01* +X0001044999Y0000375196D02* +X0000874999Y0000375196D01* +X0000799999Y0000300196D02* +X0000874999Y0000375196D01* +X0000799999Y0000300196D02* +X0000799999Y0000175196D01* +X0001044999Y0000450196D02* +X0001044999Y0000375196D01* +X0000499999Y0000275196D02* +X0000499999Y0000350196D01* +X0000474999Y0000375196D02* +X0000429999Y0000375196D01* +X0000499999Y0000350196D02* +X0000474999Y0000375196D01* +D21* +G36* +X0000696653Y0000113188D02* +X0000012992Y0000113188D01* +X0000012992Y0000386279D01* +X0000079712Y0000386279D01* +X0000090388Y0000360440D01* +X0000110140Y0000340654D01* +X0000135960Y0000329933D01* +X0000163917Y0000329909D01* +X0000189755Y0000340585D01* +X0000209542Y0000360336D01* +X0000209998Y0000361436D01* +X0000347460Y0000361436D01* +X0000347460Y0000350699D01* +X0000348988Y0000347009D01* +X0000351813Y0000344185D01* +X0000355502Y0000342657D01* +X0000361240Y0000342657D01* +X0000363749Y0000345167D01* +X0000363749Y0000363946D01* +X0000376249Y0000363946D01* +X0000376249Y0000345167D01* +X0000378759Y0000342657D01* +X0000384496Y0000342657D01* +X0000388186Y0000344185D01* +X0000391010Y0000347009D01* +X0000392539Y0000350699D01* +X0000392539Y0000361436D01* +X0000390029Y0000363946D01* +X0000376249Y0000363946D01* +X0000363749Y0000363946D01* +X0000349970Y0000363946D01* +X0000347460Y0000361436D01* +X0000209998Y0000361436D01* +X0000220263Y0000386156D01* +X0000220275Y0000399693D01* +X0000347460Y0000399693D01* +X0000347460Y0000388956D01* +X0000349970Y0000386446D01* +X0000363749Y0000386446D01* +X0000363749Y0000405226D01* +X0000376249Y0000405226D01* +X0000376249Y0000386446D01* +X0000390029Y0000386446D01* +X0000392539Y0000388956D01* +X0000392539Y0000397696D01* +X0000406059Y0000397696D01* +X0000406059Y0000352696D01* +X0000406842Y0000348538D01* +X0000409299Y0000344719D01* +X0000413049Y0000342157D01* +X0000417500Y0000341256D01* +X0000442499Y0000341256D01* +X0000446658Y0000342038D01* +X0000450476Y0000344496D01* +X0000453038Y0000348245D01* +X0000453940Y0000352696D01* +X0000453940Y0000354133D01* +X0000466275Y0000354133D01* +X0000478936Y0000341472D01* +X0000478936Y0000331868D01* +X0000465819Y0000326448D01* +X0000448807Y0000309466D01* +X0000439590Y0000287267D01* +X0000439569Y0000263231D01* +X0000448748Y0000241016D01* +X0000465729Y0000224004D01* +X0000487928Y0000214786D01* +X0000511965Y0000214765D01* +X0000534180Y0000223945D01* +X0000551192Y0000240926D01* +X0000560409Y0000263125D01* +X0000560430Y0000287162D01* +X0000551251Y0000309377D01* +X0000534270Y0000326388D01* +X0000521062Y0000331873D01* +X0000521062Y0000350196D01* +X0000519459Y0000358257D01* +X0000514893Y0000365090D01* +X0000489893Y0000390090D01* +X0000483060Y0000394656D01* +X0000474999Y0000396259D01* +X0000453940Y0000396259D01* +X0000453940Y0000397696D01* +X0000453157Y0000401854D01* +X0000450700Y0000405673D01* +X0000446950Y0000408235D01* +X0000442499Y0000409137D01* +X0000417500Y0000409137D01* +X0000413341Y0000408354D01* +X0000409522Y0000405897D01* +X0000406961Y0000402147D01* +X0000406059Y0000397696D01* +X0000392539Y0000397696D01* +X0000392539Y0000399693D01* +X0000391010Y0000403383D01* +X0000388186Y0000406207D01* +X0000384496Y0000407736D01* +X0000378759Y0000407736D01* +X0000376249Y0000405226D01* +X0000363749Y0000405226D01* +X0000361240Y0000407736D01* +X0000355502Y0000407736D01* +X0000351813Y0000406207D01* +X0000348988Y0000403383D01* +X0000347460Y0000399693D01* +X0000220275Y0000399693D01* +X0000220287Y0000414114D01* +X0000209611Y0000439952D01* +X0000189859Y0000459738D01* +X0000164040Y0000470460D01* +X0000136082Y0000470484D01* +X0000110244Y0000459808D01* +X0000090457Y0000440056D01* +X0000079736Y0000414236D01* +X0000079712Y0000386279D01* +X0000012992Y0000386279D01* +X0000012992Y0000568159D01* +X0000080905Y0000568159D01* +X0000080905Y0000539144D01* +X0000082433Y0000535454D01* +X0000085257Y0000532630D01* +X0000088947Y0000531102D01* +X0000117962Y0000531102D01* +X0000120472Y0000533612D01* +X0000120472Y0000570669D01* +X0000179527Y0000570669D01* +X0000179527Y0000533612D01* +X0000182037Y0000531102D01* +X0000211052Y0000531102D01* +X0000214741Y0000532630D01* +X0000217566Y0000535454D01* +X0000219094Y0000539144D01* +X0000219094Y0000561663D01* +X0000406110Y0000561663D01* +X0000406110Y0000475149D01* +X0000407639Y0000471459D01* +X0000410463Y0000468635D01* +X0000414153Y0000467107D01* +X0000511466Y0000467107D01* +X0000513976Y0000469617D01* +X0000513976Y0000564173D01* +X0000586023Y0000564173D01* +X0000586023Y0000469617D01* +X0000588533Y0000467107D01* +X0000685846Y0000467107D01* +X0000689536Y0000468635D01* +X0000692360Y0000471459D01* +X0000693889Y0000475149D01* +X0000693889Y0000561663D01* +X0000691379Y0000564173D01* +X0000586023Y0000564173D01* +X0000513976Y0000564173D01* +X0000408620Y0000564173D01* +X0000406110Y0000561663D01* +X0000219094Y0000561663D01* +X0000219094Y0000568159D01* +X0000216584Y0000570669D01* +X0000179527Y0000570669D01* +X0000120472Y0000570669D01* +X0000083415Y0000570669D01* +X0000080905Y0000568159D01* +X0000012992Y0000568159D01* +X0000012992Y0000661248D01* +X0000080905Y0000661248D01* +X0000080905Y0000632234D01* +X0000083415Y0000629724D01* +X0000120472Y0000629724D01* +X0000120472Y0000666781D01* +X0000179527Y0000666781D01* +X0000179527Y0000629724D01* +X0000216584Y0000629724D01* +X0000219094Y0000632234D01* +X0000219094Y0000661248D01* +X0000217566Y0000664938D01* +X0000214741Y0000667762D01* +X0000211052Y0000669291D01* +X0000182037Y0000669291D01* +X0000179527Y0000666781D01* +X0000120472Y0000666781D01* +X0000117962Y0000669291D01* +X0000088947Y0000669291D01* +X0000085257Y0000667762D01* +X0000082433Y0000664938D01* +X0000080905Y0000661248D01* +X0000012992Y0000661248D01* +X0000012992Y0000725243D01* +X0000406110Y0000725243D01* +X0000406110Y0000638730D01* +X0000408620Y0000636220D01* +X0000513976Y0000636220D01* +X0000513976Y0000730776D01* +X0000586023Y0000730776D01* +X0000586023Y0000636220D01* +X0000691379Y0000636220D01* +X0000693889Y0000638730D01* +X0000693889Y0000725243D01* +X0000692360Y0000728933D01* +X0000689536Y0000731757D01* +X0000685846Y0000733286D01* +X0000588533Y0000733286D01* +X0000586023Y0000730776D01* +X0000513976Y0000730776D01* +X0000511466Y0000733286D01* +X0000414153Y0000733286D01* +X0000410463Y0000731757D01* +X0000407639Y0000728933D01* +X0000406110Y0000725243D01* +X0000012992Y0000725243D01* +X0000012992Y0000862204D01* +X0000696653Y0000862204D01* +X0000696653Y0000113188D01* +X0000696653Y0000113188D01* +G37* +X0000696653Y0000113188D02* +X0000012992Y0000113188D01* +X0000012992Y0000386279D01* +X0000079712Y0000386279D01* +X0000090388Y0000360440D01* +X0000110140Y0000340654D01* +X0000135960Y0000329933D01* +X0000163917Y0000329909D01* +X0000189755Y0000340585D01* +X0000209542Y0000360336D01* +X0000209998Y0000361436D01* +X0000347460Y0000361436D01* +X0000347460Y0000350699D01* +X0000348988Y0000347009D01* +X0000351813Y0000344185D01* +X0000355502Y0000342657D01* +X0000361240Y0000342657D01* +X0000363749Y0000345167D01* +X0000363749Y0000363946D01* +X0000376249Y0000363946D01* +X0000376249Y0000345167D01* +X0000378759Y0000342657D01* +X0000384496Y0000342657D01* +X0000388186Y0000344185D01* +X0000391010Y0000347009D01* +X0000392539Y0000350699D01* +X0000392539Y0000361436D01* +X0000390029Y0000363946D01* +X0000376249Y0000363946D01* +X0000363749Y0000363946D01* +X0000349970Y0000363946D01* +X0000347460Y0000361436D01* +X0000209998Y0000361436D01* +X0000220263Y0000386156D01* +X0000220275Y0000399693D01* +X0000347460Y0000399693D01* +X0000347460Y0000388956D01* +X0000349970Y0000386446D01* +X0000363749Y0000386446D01* +X0000363749Y0000405226D01* +X0000376249Y0000405226D01* +X0000376249Y0000386446D01* +X0000390029Y0000386446D01* +X0000392539Y0000388956D01* +X0000392539Y0000397696D01* +X0000406059Y0000397696D01* +X0000406059Y0000352696D01* +X0000406842Y0000348538D01* +X0000409299Y0000344719D01* +X0000413049Y0000342157D01* +X0000417500Y0000341256D01* +X0000442499Y0000341256D01* +X0000446658Y0000342038D01* +X0000450476Y0000344496D01* +X0000453038Y0000348245D01* +X0000453940Y0000352696D01* +X0000453940Y0000354133D01* +X0000466275Y0000354133D01* +X0000478936Y0000341472D01* +X0000478936Y0000331868D01* +X0000465819Y0000326448D01* +X0000448807Y0000309466D01* +X0000439590Y0000287267D01* +X0000439569Y0000263231D01* +X0000448748Y0000241016D01* +X0000465729Y0000224004D01* +X0000487928Y0000214786D01* +X0000511965Y0000214765D01* +X0000534180Y0000223945D01* +X0000551192Y0000240926D01* +X0000560409Y0000263125D01* +X0000560430Y0000287162D01* +X0000551251Y0000309377D01* +X0000534270Y0000326388D01* +X0000521062Y0000331873D01* +X0000521062Y0000350196D01* +X0000519459Y0000358257D01* +X0000514893Y0000365090D01* +X0000489893Y0000390090D01* +X0000483060Y0000394656D01* +X0000474999Y0000396259D01* +X0000453940Y0000396259D01* +X0000453940Y0000397696D01* +X0000453157Y0000401854D01* +X0000450700Y0000405673D01* +X0000446950Y0000408235D01* +X0000442499Y0000409137D01* +X0000417500Y0000409137D01* +X0000413341Y0000408354D01* +X0000409522Y0000405897D01* +X0000406961Y0000402147D01* +X0000406059Y0000397696D01* +X0000392539Y0000397696D01* +X0000392539Y0000399693D01* +X0000391010Y0000403383D01* +X0000388186Y0000406207D01* +X0000384496Y0000407736D01* +X0000378759Y0000407736D01* +X0000376249Y0000405226D01* +X0000363749Y0000405226D01* +X0000361240Y0000407736D01* +X0000355502Y0000407736D01* +X0000351813Y0000406207D01* +X0000348988Y0000403383D01* +X0000347460Y0000399693D01* +X0000220275Y0000399693D01* +X0000220287Y0000414114D01* +X0000209611Y0000439952D01* +X0000189859Y0000459738D01* +X0000164040Y0000470460D01* +X0000136082Y0000470484D01* +X0000110244Y0000459808D01* +X0000090457Y0000440056D01* +X0000079736Y0000414236D01* +X0000079712Y0000386279D01* +X0000012992Y0000386279D01* +X0000012992Y0000568159D01* +X0000080905Y0000568159D01* +X0000080905Y0000539144D01* +X0000082433Y0000535454D01* +X0000085257Y0000532630D01* +X0000088947Y0000531102D01* +X0000117962Y0000531102D01* +X0000120472Y0000533612D01* +X0000120472Y0000570669D01* +X0000179527Y0000570669D01* +X0000179527Y0000533612D01* +X0000182037Y0000531102D01* +X0000211052Y0000531102D01* +X0000214741Y0000532630D01* +X0000217566Y0000535454D01* +X0000219094Y0000539144D01* +X0000219094Y0000561663D01* +X0000406110Y0000561663D01* +X0000406110Y0000475149D01* +X0000407639Y0000471459D01* +X0000410463Y0000468635D01* +X0000414153Y0000467107D01* +X0000511466Y0000467107D01* +X0000513976Y0000469617D01* +X0000513976Y0000564173D01* +X0000586023Y0000564173D01* +X0000586023Y0000469617D01* +X0000588533Y0000467107D01* +X0000685846Y0000467107D01* +X0000689536Y0000468635D01* +X0000692360Y0000471459D01* +X0000693889Y0000475149D01* +X0000693889Y0000561663D01* +X0000691379Y0000564173D01* +X0000586023Y0000564173D01* +X0000513976Y0000564173D01* +X0000408620Y0000564173D01* +X0000406110Y0000561663D01* +X0000219094Y0000561663D01* +X0000219094Y0000568159D01* +X0000216584Y0000570669D01* +X0000179527Y0000570669D01* +X0000120472Y0000570669D01* +X0000083415Y0000570669D01* +X0000080905Y0000568159D01* +X0000012992Y0000568159D01* +X0000012992Y0000661248D01* +X0000080905Y0000661248D01* +X0000080905Y0000632234D01* +X0000083415Y0000629724D01* +X0000120472Y0000629724D01* +X0000120472Y0000666781D01* +X0000179527Y0000666781D01* +X0000179527Y0000629724D01* +X0000216584Y0000629724D01* +X0000219094Y0000632234D01* +X0000219094Y0000661248D01* +X0000217566Y0000664938D01* +X0000214741Y0000667762D01* +X0000211052Y0000669291D01* +X0000182037Y0000669291D01* +X0000179527Y0000666781D01* +X0000120472Y0000666781D01* +X0000117962Y0000669291D01* +X0000088947Y0000669291D01* +X0000085257Y0000667762D01* +X0000082433Y0000664938D01* +X0000080905Y0000661248D01* +X0000012992Y0000661248D01* +X0000012992Y0000725243D01* +X0000406110Y0000725243D01* +X0000406110Y0000638730D01* +X0000408620Y0000636220D01* +X0000513976Y0000636220D01* +X0000513976Y0000730776D01* +X0000586023Y0000730776D01* +X0000586023Y0000636220D01* +X0000691379Y0000636220D01* +X0000693889Y0000638730D01* +X0000693889Y0000725243D01* +X0000692360Y0000728933D01* +X0000689536Y0000731757D01* +X0000685846Y0000733286D01* +X0000588533Y0000733286D01* +X0000586023Y0000730776D01* +X0000513976Y0000730776D01* +X0000511466Y0000733286D01* +X0000414153Y0000733286D01* +X0000410463Y0000731757D01* +X0000407639Y0000728933D01* +X0000406110Y0000725243D01* +X0000012992Y0000725243D01* +X0000012992Y0000862204D01* +X0000696653Y0000862204D01* +X0000696653Y0000113188D01* +G36* +X0000972460Y0000763956D02* +X0000974970Y0000761446D01* +X0000988750Y0000761446D01* +X0000988750Y0000771850D01* +X0001001249Y0000771850D01* +X0001001249Y0000761446D01* +X0001015029Y0000761446D01* +X0001017539Y0000763956D01* +X0001017539Y0000771850D01* +X0001021653Y0000771850D01* +X0001021653Y0000701582D01* +X0000998613Y0000678543D01* +X0000877344Y0000678543D01* +X0000877360Y0000678559D01* +X0000878889Y0000682249D01* +X0000878889Y0000689712D01* +X0000876379Y0000692221D01* +X0000839325Y0000692221D01* +X0000839325Y0000684246D01* +X0000780274Y0000684246D01* +X0000780274Y0000692221D01* +X0000743220Y0000692221D01* +X0000740710Y0000689712D01* +X0000740710Y0000682249D01* +X0000742239Y0000678559D01* +X0000742255Y0000678543D01* +X0000728346Y0000678543D01* +X0000728346Y0000718143D01* +X0000740710Y0000718143D01* +X0000740710Y0000710681D01* +X0000743220Y0000708171D01* +X0000780274Y0000708171D01* +X0000780274Y0000723676D01* +X0000839325Y0000723676D01* +X0000839325Y0000708171D01* +X0000876379Y0000708171D01* +X0000878889Y0000710681D01* +X0000878889Y0000718143D01* +X0000877360Y0000721833D01* +X0000874536Y0000724657D01* +X0000870846Y0000726186D01* +X0000841834Y0000726186D01* +X0000839325Y0000723676D01* +X0000780274Y0000723676D01* +X0000777765Y0000726186D01* +X0000748753Y0000726186D01* +X0000745063Y0000724657D01* +X0000742239Y0000721833D01* +X0000740710Y0000718143D01* +X0000728346Y0000718143D01* +X0000728346Y0000736437D01* +X0000972460Y0000736437D01* +X0000972460Y0000725699D01* +X0000973988Y0000722010D01* +X0000976813Y0000719185D01* +X0000980502Y0000717657D01* +X0000986240Y0000717657D01* +X0000988750Y0000720167D01* +X0000988750Y0000738946D01* +X0001001249Y0000738946D01* +X0001001249Y0000720167D01* +X0001003759Y0000717657D01* +X0001009496Y0000717657D01* +X0001013186Y0000719185D01* +X0001016010Y0000722010D01* +X0001017539Y0000725699D01* +X0001017539Y0000736437D01* +X0001015029Y0000738946D01* +X0001001249Y0000738946D01* +X0000988750Y0000738946D01* +X0000974970Y0000738946D01* +X0000972460Y0000736437D01* +X0000728346Y0000736437D01* +X0000728346Y0000748810D01* +X0000751386Y0000771850D01* +X0000972460Y0000771850D01* +X0000972460Y0000763956D01* +X0000972460Y0000763956D01* +G37* +X0000972460Y0000763956D02* +X0000974970Y0000761446D01* +X0000988750Y0000761446D01* +X0000988750Y0000771850D01* +X0001001249Y0000771850D01* +X0001001249Y0000761446D01* +X0001015029Y0000761446D01* +X0001017539Y0000763956D01* +X0001017539Y0000771850D01* +X0001021653Y0000771850D01* +X0001021653Y0000701582D01* +X0000998613Y0000678543D01* +X0000877344Y0000678543D01* +X0000877360Y0000678559D01* +X0000878889Y0000682249D01* +X0000878889Y0000689712D01* +X0000876379Y0000692221D01* +X0000839325Y0000692221D01* +X0000839325Y0000684246D01* +X0000780274Y0000684246D01* +X0000780274Y0000692221D01* +X0000743220Y0000692221D01* +X0000740710Y0000689712D01* +X0000740710Y0000682249D01* +X0000742239Y0000678559D01* +X0000742255Y0000678543D01* +X0000728346Y0000678543D01* +X0000728346Y0000718143D01* +X0000740710Y0000718143D01* +X0000740710Y0000710681D01* +X0000743220Y0000708171D01* +X0000780274Y0000708171D01* +X0000780274Y0000723676D01* +X0000839325Y0000723676D01* +X0000839325Y0000708171D01* +X0000876379Y0000708171D01* +X0000878889Y0000710681D01* +X0000878889Y0000718143D01* +X0000877360Y0000721833D01* +X0000874536Y0000724657D01* +X0000870846Y0000726186D01* +X0000841834Y0000726186D01* +X0000839325Y0000723676D01* +X0000780274Y0000723676D01* +X0000777765Y0000726186D01* +X0000748753Y0000726186D01* +X0000745063Y0000724657D01* +X0000742239Y0000721833D01* +X0000740710Y0000718143D01* +X0000728346Y0000718143D01* +X0000728346Y0000736437D01* +X0000972460Y0000736437D01* +X0000972460Y0000725699D01* +X0000973988Y0000722010D01* +X0000976813Y0000719185D01* +X0000980502Y0000717657D01* +X0000986240Y0000717657D01* +X0000988750Y0000720167D01* +X0000988750Y0000738946D01* +X0001001249Y0000738946D01* +X0001001249Y0000720167D01* +X0001003759Y0000717657D01* +X0001009496Y0000717657D01* +X0001013186Y0000719185D01* +X0001016010Y0000722010D01* +X0001017539Y0000725699D01* +X0001017539Y0000736437D01* +X0001015029Y0000738946D01* +X0001001249Y0000738946D01* +X0000988750Y0000738946D01* +X0000974970Y0000738946D01* +X0000972460Y0000736437D01* +X0000728346Y0000736437D01* +X0000728346Y0000748810D01* +X0000751386Y0000771850D01* +X0000972460Y0000771850D01* +X0000972460Y0000763956D01* +G36* +X0000742239Y0000521833D02* +X0000740710Y0000518143D01* +X0000740710Y0000510681D01* +X0000743220Y0000508171D01* +X0000780274Y0000508171D01* +X0000780274Y0000516146D01* +X0000839325Y0000516146D01* +X0000839325Y0000508171D01* +X0000876379Y0000508171D01* +X0000878889Y0000510681D01* +X0000878889Y0000518143D01* +X0000877360Y0000521833D01* +X0000877344Y0000521850D01* +X0000948613Y0000521850D01* +X0000971653Y0000498810D01* +X0000971653Y0000451582D01* +X0000948613Y0000428543D01* +X0000751386Y0000428543D01* +X0000728346Y0000451582D01* +X0000728346Y0000489712D01* +X0000740710Y0000489712D01* +X0000740710Y0000482249D01* +X0000742239Y0000478559D01* +X0000745063Y0000475735D01* +X0000748753Y0000474207D01* +X0000777765Y0000474207D01* +X0000780274Y0000476717D01* +X0000780274Y0000492221D01* +X0000839325Y0000492221D01* +X0000839325Y0000476717D01* +X0000841834Y0000474207D01* +X0000870846Y0000474207D01* +X0000874536Y0000475735D01* +X0000877360Y0000478559D01* +X0000878889Y0000482249D01* +X0000878889Y0000489712D01* +X0000876379Y0000492221D01* +X0000839325Y0000492221D01* +X0000780274Y0000492221D01* +X0000743220Y0000492221D01* +X0000740710Y0000489712D01* +X0000728346Y0000489712D01* +X0000728346Y0000521850D01* +X0000742255Y0000521850D01* +X0000742239Y0000521833D01* +X0000742239Y0000521833D01* +G37* +X0000742239Y0000521833D02* +X0000740710Y0000518143D01* +X0000740710Y0000510681D01* +X0000743220Y0000508171D01* +X0000780274Y0000508171D01* +X0000780274Y0000516146D01* +X0000839325Y0000516146D01* +X0000839325Y0000508171D01* +X0000876379Y0000508171D01* +X0000878889Y0000510681D01* +X0000878889Y0000518143D01* +X0000877360Y0000521833D01* +X0000877344Y0000521850D01* +X0000948613Y0000521850D01* +X0000971653Y0000498810D01* +X0000971653Y0000451582D01* +X0000948613Y0000428543D01* +X0000751386Y0000428543D01* +X0000728346Y0000451582D01* +X0000728346Y0000489712D01* +X0000740710Y0000489712D01* +X0000740710Y0000482249D01* +X0000742239Y0000478559D01* +X0000745063Y0000475735D01* +X0000748753Y0000474207D01* +X0000777765Y0000474207D01* +X0000780274Y0000476717D01* +X0000780274Y0000492221D01* +X0000839325Y0000492221D01* +X0000839325Y0000476717D01* +X0000841834Y0000474207D01* +X0000870846Y0000474207D01* +X0000874536Y0000475735D01* +X0000877360Y0000478559D01* +X0000878889Y0000482249D01* +X0000878889Y0000489712D01* +X0000876379Y0000492221D01* +X0000839325Y0000492221D01* +X0000780274Y0000492221D01* +X0000743220Y0000492221D01* +X0000740710Y0000489712D01* +X0000728346Y0000489712D01* +X0000728346Y0000521850D01* +X0000742255Y0000521850D01* +X0000742239Y0000521833D01* +G36* +X0001537007Y0000113188D02* +X0000803346Y0000113188D01* +X0000803346Y0000114773D01* +X0000811965Y0000114765D01* +X0000834180Y0000123944D01* +X0000851192Y0000140926D01* +X0000860409Y0000163125D01* +X0000860430Y0000187162D01* +X0000851251Y0000209377D01* +X0000839901Y0000220747D01* +X0000980340Y0000220747D01* +X0000987477Y0000216109D01* +X0001011042Y0000215814D01* +X0001012522Y0000216109D01* +X0001019659Y0000220747D01* +X0001000000Y0000240407D01* +X0000980340Y0000220747D01* +X0000839901Y0000220747D01* +X0000834270Y0000226388D01* +X0000821062Y0000231873D01* +X0000821062Y0000264154D01* +X0000940618Y0000264154D01* +X0000940912Y0000262674D01* +X0000945550Y0000255536D01* +X0000965210Y0000275196D01* +X0001034789Y0000275196D01* +X0001054449Y0000255536D01* +X0001059087Y0000262674D01* +X0001059381Y0000286239D01* +X0001059087Y0000287719D01* +X0001054449Y0000294856D01* +X0001034789Y0000275196D01* +X0000965210Y0000275196D01* +X0000945550Y0000294856D01* +X0000940912Y0000287719D01* +X0000940618Y0000264154D01* +X0000821062Y0000264154D01* +X0000821062Y0000291472D01* +X0000859237Y0000329646D01* +X0000980340Y0000329646D01* +X0001000000Y0000309986D01* +X0001019659Y0000329646D01* +X0001012522Y0000334284D01* +X0000988957Y0000334578D01* +X0000987477Y0000334284D01* +X0000980340Y0000329646D01* +X0000859237Y0000329646D01* +X0000883724Y0000354133D01* +X0001021059Y0000354133D01* +X0001021059Y0000352696D01* +X0001021842Y0000348538D01* +X0001024299Y0000344719D01* +X0001028049Y0000342157D01* +X0001032500Y0000341256D01* +X0001057500Y0000341256D01* +X0001061658Y0000342038D01* +X0001065476Y0000344496D01* +X0001068038Y0000348245D01* +X0001068940Y0000352696D01* +X0001068940Y0000361436D01* +X0001082460Y0000361436D01* +X0001082460Y0000350699D01* +X0001083989Y0000347009D01* +X0001086813Y0000344185D01* +X0001090503Y0000342657D01* +X0001096240Y0000342657D01* +X0001098750Y0000345167D01* +X0001098750Y0000363946D01* +X0001111250Y0000363946D01* +X0001111250Y0000345167D01* +X0001113759Y0000342657D01* +X0001119496Y0000342657D01* +X0001123186Y0000344185D01* +X0001126010Y0000347009D01* +X0001127539Y0000350699D01* +X0001127539Y0000361436D01* +X0001125029Y0000363946D01* +X0001111250Y0000363946D01* +X0001098750Y0000363946D01* +X0001084970Y0000363946D01* +X0001082460Y0000361436D01* +X0001068940Y0000361436D01* +X0001068940Y0000368159D01* +X0001330905Y0000368159D01* +X0001330905Y0000339144D01* +X0001332433Y0000335454D01* +X0001335257Y0000332630D01* +X0001338947Y0000331102D01* +X0001367962Y0000331102D01* +X0001370472Y0000333612D01* +X0001370472Y0000370669D01* +X0001429527Y0000370669D01* +X0001429527Y0000333612D01* +X0001432037Y0000331102D01* +X0001461052Y0000331102D01* +X0001464741Y0000332630D01* +X0001467566Y0000335454D01* +X0001469094Y0000339144D01* +X0001469094Y0000368159D01* +X0001466584Y0000370669D01* +X0001429527Y0000370669D01* +X0001370472Y0000370669D01* +X0001333415Y0000370669D01* +X0001330905Y0000368159D01* +X0001068940Y0000368159D01* +X0001068940Y0000397696D01* +X0001068564Y0000399693D01* +X0001082460Y0000399693D01* +X0001082460Y0000388956D01* +X0001084970Y0000386446D01* +X0001098750Y0000386446D01* +X0001098750Y0000405226D01* +X0001111250Y0000405226D01* +X0001111250Y0000386446D01* +X0001125029Y0000386446D01* +X0001127539Y0000388956D01* +X0001127539Y0000399693D01* +X0001126010Y0000403383D01* +X0001123186Y0000406207D01* +X0001119496Y0000407736D01* +X0001113759Y0000407736D01* +X0001111250Y0000405226D01* +X0001098750Y0000405226D01* +X0001096240Y0000407736D01* +X0001090503Y0000407736D01* +X0001086813Y0000406207D01* +X0001083989Y0000403383D01* +X0001082460Y0000399693D01* +X0001068564Y0000399693D01* +X0001068157Y0000401854D01* +X0001066062Y0000405110D01* +X0001066062Y0000420354D01* +X0001068038Y0000423245D01* +X0001068940Y0000427696D01* +X0001068940Y0000472696D01* +X0001081059Y0000472696D01* +X0001081059Y0000427696D01* +X0001081842Y0000423538D01* +X0001084299Y0000419719D01* +X0001088049Y0000417157D01* +X0001092500Y0000416256D01* +X0001117500Y0000416256D01* +X0001121658Y0000417038D01* +X0001125476Y0000419496D01* +X0001128038Y0000423245D01* +X0001128940Y0000427696D01* +X0001128940Y0000429133D01* +X0001150000Y0000429133D01* +X0001158060Y0000430737D01* +X0001164893Y0000435303D01* +X0001181493Y0000451903D01* +X0001186059Y0000458736D01* +X0001186559Y0000461248D01* +X0001330905Y0000461248D01* +X0001330905Y0000432234D01* +X0001333415Y0000429724D01* +X0001370472Y0000429724D01* +X0001370472Y0000466781D01* +X0001429527Y0000466781D01* +X0001429527Y0000429724D01* +X0001466584Y0000429724D01* +X0001469094Y0000432234D01* +X0001469094Y0000461248D01* +X0001467566Y0000464938D01* +X0001464741Y0000467762D01* +X0001461052Y0000469291D01* +X0001432037Y0000469291D01* +X0001429527Y0000466781D01* +X0001370472Y0000466781D01* +X0001367962Y0000469291D01* +X0001338947Y0000469291D01* +X0001335257Y0000467762D01* +X0001332433Y0000464938D01* +X0001330905Y0000461248D01* +X0001186559Y0000461248D01* +X0001187662Y0000466796D01* +X0001187662Y0000489712D01* +X0001190458Y0000490238D01* +X0001194276Y0000492696D01* +X0001196838Y0000496445D01* +X0001197740Y0000500896D01* +X0001197740Y0000524496D01* +X0001196957Y0000528654D01* +X0001194500Y0000532473D01* +X0001190750Y0000535035D01* +X0001186300Y0000535937D01* +X0001146899Y0000535937D01* +X0001142741Y0000535154D01* +X0001138922Y0000532697D01* +X0001136361Y0000528947D01* +X0001135459Y0000524496D01* +X0001135459Y0000500896D01* +X0001136242Y0000496738D01* +X0001138699Y0000492919D01* +X0001142449Y0000490357D01* +X0001145537Y0000489732D01* +X0001145537Y0000475521D01* +X0001141275Y0000471259D01* +X0001128940Y0000471259D01* +X0001128940Y0000472696D01* +X0001128157Y0000476854D01* +X0001125700Y0000480673D01* +X0001121950Y0000483235D01* +X0001117500Y0000484137D01* +X0001092500Y0000484137D01* +X0001088341Y0000483354D01* +X0001084523Y0000480897D01* +X0001081961Y0000477147D01* +X0001081059Y0000472696D01* +X0001068940Y0000472696D01* +X0001068157Y0000476854D01* +X0001065700Y0000480673D01* +X0001062438Y0000482902D01* +X0001076386Y0000496850D01* +X0001099999Y0000496850D01* +X0001101301Y0000497114D01* +X0001102366Y0000497830D01* +X0001127366Y0000522830D01* +X0001128100Y0000523937D01* +X0001128346Y0000525196D01* +X0001128346Y0000579287D01* +X0001136860Y0000579287D01* +X0001136860Y0000573899D01* +X0001138389Y0000570210D01* +X0001141213Y0000567385D01* +X0001144903Y0000565857D01* +X0001154240Y0000565857D01* +X0001156750Y0000568367D01* +X0001156750Y0000581796D01* +X0001176450Y0000581796D01* +X0001176450Y0000568367D01* +X0001178959Y0000565857D01* +X0001188296Y0000565857D01* +X0001191986Y0000567385D01* +X0001194810Y0000570210D01* +X0001196339Y0000573899D01* +X0001196339Y0000579287D01* +X0001193829Y0000581796D01* +X0001176450Y0000581796D01* +X0001156750Y0000581796D01* +X0001139370Y0000581796D01* +X0001136860Y0000579287D01* +X0001128346Y0000579287D01* +X0001128346Y0000586279D01* +X0001329712Y0000586279D01* +X0001340388Y0000560440D01* +X0001360140Y0000540654D01* +X0001385960Y0000529933D01* +X0001413917Y0000529909D01* +X0001439755Y0000540585D01* +X0001459542Y0000560336D01* +X0001470263Y0000586156D01* +X0001470287Y0000614114D01* +X0001459611Y0000639952D01* +X0001439859Y0000659738D01* +X0001414040Y0000670460D01* +X0001386082Y0000670484D01* +X0001360244Y0000659808D01* +X0001340457Y0000640056D01* +X0001329736Y0000614236D01* +X0001329712Y0000586279D01* +X0001128346Y0000586279D01* +X0001128346Y0000601493D01* +X0001136860Y0000601493D01* +X0001136860Y0000596106D01* +X0001139370Y0000593596D01* +X0001156750Y0000593596D01* +X0001156750Y0000607026D01* +X0001176450Y0000607026D01* +X0001176450Y0000593596D01* +X0001193829Y0000593596D01* +X0001196339Y0000596106D01* +X0001196339Y0000601493D01* +X0001194810Y0000605183D01* +X0001191986Y0000608007D01* +X0001188296Y0000609536D01* +X0001178959Y0000609536D01* +X0001176450Y0000607026D01* +X0001156750Y0000607026D01* +X0001154240Y0000609536D01* +X0001144903Y0000609536D01* +X0001141213Y0000608007D01* +X0001138389Y0000605183D01* +X0001136860Y0000601493D01* +X0001128346Y0000601493D01* +X0001128346Y0000616256D01* +X0001132500Y0000616256D01* +X0001136658Y0000617038D01* +X0001140476Y0000619496D01* +X0001143038Y0000623245D01* +X0001143940Y0000627696D01* +X0001143940Y0000636436D01* +X0001157460Y0000636436D01* +X0001157460Y0000625699D01* +X0001158989Y0000622009D01* +X0001161813Y0000619185D01* +X0001165503Y0000617657D01* +X0001171240Y0000617657D01* +X0001173750Y0000620167D01* +X0001173750Y0000638946D01* +X0001186250Y0000638946D01* +X0001186250Y0000620167D01* +X0001188759Y0000617657D01* +X0001194496Y0000617657D01* +X0001198186Y0000619185D01* +X0001201010Y0000622009D01* +X0001202539Y0000625699D01* +X0001202539Y0000636436D01* +X0001200029Y0000638946D01* +X0001186250Y0000638946D01* +X0001173750Y0000638946D01* +X0001159970Y0000638946D01* +X0001157460Y0000636436D01* +X0001143940Y0000636436D01* +X0001143940Y0000672696D01* +X0001143564Y0000674693D01* +X0001157460Y0000674693D01* +X0001157460Y0000663956D01* +X0001159970Y0000661446D01* +X0001173750Y0000661446D01* +X0001173750Y0000680226D01* +X0001186250Y0000680226D01* +X0001186250Y0000661446D01* +X0001200029Y0000661446D01* +X0001202539Y0000663956D01* +X0001202539Y0000674693D01* +X0001201010Y0000678383D01* +X0001198186Y0000681207D01* +X0001194496Y0000682736D01* +X0001188759Y0000682736D01* +X0001186250Y0000680226D01* +X0001173750Y0000680226D01* +X0001171240Y0000682736D01* +X0001165503Y0000682736D01* +X0001161813Y0000681207D01* +X0001158989Y0000678383D01* +X0001157460Y0000674693D01* +X0001143564Y0000674693D01* +X0001143157Y0000676854D01* +X0001140700Y0000680673D01* +X0001136950Y0000683235D01* +X0001132500Y0000684137D01* +X0001128346Y0000684137D01* +X0001128346Y0000716256D01* +X0001132500Y0000716256D01* +X0001136658Y0000717038D01* +X0001140476Y0000719496D01* +X0001143038Y0000723245D01* +X0001143940Y0000727696D01* +X0001143940Y0000736437D01* +X0001157460Y0000736437D01* +X0001157460Y0000725699D01* +X0001158989Y0000722010D01* +X0001161813Y0000719185D01* +X0001165503Y0000717657D01* +X0001171240Y0000717657D01* +X0001173750Y0000720167D01* +X0001173750Y0000738946D01* +X0001186250Y0000738946D01* +X0001186250Y0000720167D01* +X0001188759Y0000717657D01* +X0001194496Y0000717657D01* +X0001198186Y0000719185D01* +X0001201010Y0000722010D01* +X0001202539Y0000725699D01* +X0001202539Y0000736437D01* +X0001200029Y0000738946D01* +X0001186250Y0000738946D01* +X0001173750Y0000738946D01* +X0001159970Y0000738946D01* +X0001157460Y0000736437D01* +X0001143940Y0000736437D01* +X0001143940Y0000740154D01* +X0001144006Y0000740253D01* +X0001145984Y0000750196D01* +X0001144006Y0000760140D01* +X0001143940Y0000760239D01* +X0001143940Y0000772696D01* +X0001143564Y0000774693D01* +X0001157460Y0000774693D01* +X0001157460Y0000763956D01* +X0001159970Y0000761446D01* +X0001173750Y0000761446D01* +X0001173750Y0000780226D01* +X0001186250Y0000780226D01* +X0001186250Y0000761446D01* +X0001200029Y0000761446D01* +X0001202539Y0000763956D01* +X0001202539Y0000774693D01* +X0001201010Y0000778383D01* +X0001198186Y0000781207D01* +X0001194496Y0000782736D01* +X0001188759Y0000782736D01* +X0001186250Y0000780226D01* +X0001173750Y0000780226D01* +X0001171240Y0000782736D01* +X0001165503Y0000782736D01* +X0001161813Y0000781207D01* +X0001158989Y0000778383D01* +X0001157460Y0000774693D01* +X0001143564Y0000774693D01* +X0001143157Y0000776854D01* +X0001140700Y0000780673D01* +X0001136950Y0000783235D01* +X0001132500Y0000784137D01* +X0001128346Y0000784137D01* +X0001128346Y0000791256D01* +X0001132500Y0000791256D01* +X0001136658Y0000792038D01* +X0001140476Y0000794496D01* +X0001143038Y0000798245D01* +X0001143940Y0000802696D01* +X0001143940Y0000811437D01* +X0001157460Y0000811437D01* +X0001157460Y0000800699D01* +X0001158989Y0000797010D01* +X0001161813Y0000794185D01* +X0001165503Y0000792657D01* +X0001171240Y0000792657D01* +X0001173750Y0000795167D01* +X0001173750Y0000813946D01* +X0001186250Y0000813946D01* +X0001186250Y0000795167D01* +X0001188759Y0000792657D01* +X0001194496Y0000792657D01* +X0001198186Y0000794185D01* +X0001201010Y0000797010D01* +X0001202539Y0000800699D01* +X0001202539Y0000811437D01* +X0001200029Y0000813946D01* +X0001186250Y0000813946D01* +X0001173750Y0000813946D01* +X0001159970Y0000813946D01* +X0001157460Y0000811437D01* +X0001143940Y0000811437D01* +X0001143940Y0000815154D01* +X0001144006Y0000815253D01* +X0001145984Y0000825196D01* +X0001144006Y0000835140D01* +X0001143940Y0000835239D01* +X0001143940Y0000847696D01* +X0001143564Y0000849693D01* +X0001157460Y0000849693D01* +X0001157460Y0000838956D01* +X0001159970Y0000836446D01* +X0001173750Y0000836446D01* +X0001173750Y0000855226D01* +X0001186250Y0000855226D01* +X0001186250Y0000836446D01* +X0001200029Y0000836446D01* +X0001202539Y0000838956D01* +X0001202539Y0000849693D01* +X0001201010Y0000853383D01* +X0001198186Y0000856207D01* +X0001194496Y0000857736D01* +X0001188759Y0000857736D01* +X0001186250Y0000855226D01* +X0001173750Y0000855226D01* +X0001171240Y0000857736D01* +X0001165503Y0000857736D01* +X0001161813Y0000856207D01* +X0001158989Y0000853383D01* +X0001157460Y0000849693D01* +X0001143564Y0000849693D01* +X0001143157Y0000851854D01* +X0001140700Y0000855673D01* +X0001136950Y0000858235D01* +X0001132500Y0000859137D01* +X0001128346Y0000859137D01* +X0001128346Y0000862204D01* +X0001537007Y0000862204D01* +X0001537007Y0000113188D01* +X0001537007Y0000113188D01* +G37* +X0001537007Y0000113188D02* +X0000803346Y0000113188D01* +X0000803346Y0000114773D01* +X0000811965Y0000114765D01* +X0000834180Y0000123944D01* +X0000851192Y0000140926D01* +X0000860409Y0000163125D01* +X0000860430Y0000187162D01* +X0000851251Y0000209377D01* +X0000839901Y0000220747D01* +X0000980340Y0000220747D01* +X0000987477Y0000216109D01* +X0001011042Y0000215814D01* +X0001012522Y0000216109D01* +X0001019659Y0000220747D01* +X0001000000Y0000240407D01* +X0000980340Y0000220747D01* +X0000839901Y0000220747D01* +X0000834270Y0000226388D01* +X0000821062Y0000231873D01* +X0000821062Y0000264154D01* +X0000940618Y0000264154D01* +X0000940912Y0000262674D01* +X0000945550Y0000255536D01* +X0000965210Y0000275196D01* +X0001034789Y0000275196D01* +X0001054449Y0000255536D01* +X0001059087Y0000262674D01* +X0001059381Y0000286239D01* +X0001059087Y0000287719D01* +X0001054449Y0000294856D01* +X0001034789Y0000275196D01* +X0000965210Y0000275196D01* +X0000945550Y0000294856D01* +X0000940912Y0000287719D01* +X0000940618Y0000264154D01* +X0000821062Y0000264154D01* +X0000821062Y0000291472D01* +X0000859237Y0000329646D01* +X0000980340Y0000329646D01* +X0001000000Y0000309986D01* +X0001019659Y0000329646D01* +X0001012522Y0000334284D01* +X0000988957Y0000334578D01* +X0000987477Y0000334284D01* +X0000980340Y0000329646D01* +X0000859237Y0000329646D01* +X0000883724Y0000354133D01* +X0001021059Y0000354133D01* +X0001021059Y0000352696D01* +X0001021842Y0000348538D01* +X0001024299Y0000344719D01* +X0001028049Y0000342157D01* +X0001032500Y0000341256D01* +X0001057500Y0000341256D01* +X0001061658Y0000342038D01* +X0001065476Y0000344496D01* +X0001068038Y0000348245D01* +X0001068940Y0000352696D01* +X0001068940Y0000361436D01* +X0001082460Y0000361436D01* +X0001082460Y0000350699D01* +X0001083989Y0000347009D01* +X0001086813Y0000344185D01* +X0001090503Y0000342657D01* +X0001096240Y0000342657D01* +X0001098750Y0000345167D01* +X0001098750Y0000363946D01* +X0001111250Y0000363946D01* +X0001111250Y0000345167D01* +X0001113759Y0000342657D01* +X0001119496Y0000342657D01* +X0001123186Y0000344185D01* +X0001126010Y0000347009D01* +X0001127539Y0000350699D01* +X0001127539Y0000361436D01* +X0001125029Y0000363946D01* +X0001111250Y0000363946D01* +X0001098750Y0000363946D01* +X0001084970Y0000363946D01* +X0001082460Y0000361436D01* +X0001068940Y0000361436D01* +X0001068940Y0000368159D01* +X0001330905Y0000368159D01* +X0001330905Y0000339144D01* +X0001332433Y0000335454D01* +X0001335257Y0000332630D01* +X0001338947Y0000331102D01* +X0001367962Y0000331102D01* +X0001370472Y0000333612D01* +X0001370472Y0000370669D01* +X0001429527Y0000370669D01* +X0001429527Y0000333612D01* +X0001432037Y0000331102D01* +X0001461052Y0000331102D01* +X0001464741Y0000332630D01* +X0001467566Y0000335454D01* +X0001469094Y0000339144D01* +X0001469094Y0000368159D01* +X0001466584Y0000370669D01* +X0001429527Y0000370669D01* +X0001370472Y0000370669D01* +X0001333415Y0000370669D01* +X0001330905Y0000368159D01* +X0001068940Y0000368159D01* +X0001068940Y0000397696D01* +X0001068564Y0000399693D01* +X0001082460Y0000399693D01* +X0001082460Y0000388956D01* +X0001084970Y0000386446D01* +X0001098750Y0000386446D01* +X0001098750Y0000405226D01* +X0001111250Y0000405226D01* +X0001111250Y0000386446D01* +X0001125029Y0000386446D01* +X0001127539Y0000388956D01* +X0001127539Y0000399693D01* +X0001126010Y0000403383D01* +X0001123186Y0000406207D01* +X0001119496Y0000407736D01* +X0001113759Y0000407736D01* +X0001111250Y0000405226D01* +X0001098750Y0000405226D01* +X0001096240Y0000407736D01* +X0001090503Y0000407736D01* +X0001086813Y0000406207D01* +X0001083989Y0000403383D01* +X0001082460Y0000399693D01* +X0001068564Y0000399693D01* +X0001068157Y0000401854D01* +X0001066062Y0000405110D01* +X0001066062Y0000420354D01* +X0001068038Y0000423245D01* +X0001068940Y0000427696D01* +X0001068940Y0000472696D01* +X0001081059Y0000472696D01* +X0001081059Y0000427696D01* +X0001081842Y0000423538D01* +X0001084299Y0000419719D01* +X0001088049Y0000417157D01* +X0001092500Y0000416256D01* +X0001117500Y0000416256D01* +X0001121658Y0000417038D01* +X0001125476Y0000419496D01* +X0001128038Y0000423245D01* +X0001128940Y0000427696D01* +X0001128940Y0000429133D01* +X0001150000Y0000429133D01* +X0001158060Y0000430737D01* +X0001164893Y0000435303D01* +X0001181493Y0000451903D01* +X0001186059Y0000458736D01* +X0001186559Y0000461248D01* +X0001330905Y0000461248D01* +X0001330905Y0000432234D01* +X0001333415Y0000429724D01* +X0001370472Y0000429724D01* +X0001370472Y0000466781D01* +X0001429527Y0000466781D01* +X0001429527Y0000429724D01* +X0001466584Y0000429724D01* +X0001469094Y0000432234D01* +X0001469094Y0000461248D01* +X0001467566Y0000464938D01* +X0001464741Y0000467762D01* +X0001461052Y0000469291D01* +X0001432037Y0000469291D01* +X0001429527Y0000466781D01* +X0001370472Y0000466781D01* +X0001367962Y0000469291D01* +X0001338947Y0000469291D01* +X0001335257Y0000467762D01* +X0001332433Y0000464938D01* +X0001330905Y0000461248D01* +X0001186559Y0000461248D01* +X0001187662Y0000466796D01* +X0001187662Y0000489712D01* +X0001190458Y0000490238D01* +X0001194276Y0000492696D01* +X0001196838Y0000496445D01* +X0001197740Y0000500896D01* +X0001197740Y0000524496D01* +X0001196957Y0000528654D01* +X0001194500Y0000532473D01* +X0001190750Y0000535035D01* +X0001186300Y0000535937D01* +X0001146899Y0000535937D01* +X0001142741Y0000535154D01* +X0001138922Y0000532697D01* +X0001136361Y0000528947D01* +X0001135459Y0000524496D01* +X0001135459Y0000500896D01* +X0001136242Y0000496738D01* +X0001138699Y0000492919D01* +X0001142449Y0000490357D01* +X0001145537Y0000489732D01* +X0001145537Y0000475521D01* +X0001141275Y0000471259D01* +X0001128940Y0000471259D01* +X0001128940Y0000472696D01* +X0001128157Y0000476854D01* +X0001125700Y0000480673D01* +X0001121950Y0000483235D01* +X0001117500Y0000484137D01* +X0001092500Y0000484137D01* +X0001088341Y0000483354D01* +X0001084523Y0000480897D01* +X0001081961Y0000477147D01* +X0001081059Y0000472696D01* +X0001068940Y0000472696D01* +X0001068157Y0000476854D01* +X0001065700Y0000480673D01* +X0001062438Y0000482902D01* +X0001076386Y0000496850D01* +X0001099999Y0000496850D01* +X0001101301Y0000497114D01* +X0001102366Y0000497830D01* +X0001127366Y0000522830D01* +X0001128100Y0000523937D01* +X0001128346Y0000525196D01* +X0001128346Y0000579287D01* +X0001136860Y0000579287D01* +X0001136860Y0000573899D01* +X0001138389Y0000570210D01* +X0001141213Y0000567385D01* +X0001144903Y0000565857D01* +X0001154240Y0000565857D01* +X0001156750Y0000568367D01* +X0001156750Y0000581796D01* +X0001176450Y0000581796D01* +X0001176450Y0000568367D01* +X0001178959Y0000565857D01* +X0001188296Y0000565857D01* +X0001191986Y0000567385D01* +X0001194810Y0000570210D01* +X0001196339Y0000573899D01* +X0001196339Y0000579287D01* +X0001193829Y0000581796D01* +X0001176450Y0000581796D01* +X0001156750Y0000581796D01* +X0001139370Y0000581796D01* +X0001136860Y0000579287D01* +X0001128346Y0000579287D01* +X0001128346Y0000586279D01* +X0001329712Y0000586279D01* +X0001340388Y0000560440D01* +X0001360140Y0000540654D01* +X0001385960Y0000529933D01* +X0001413917Y0000529909D01* +X0001439755Y0000540585D01* +X0001459542Y0000560336D01* +X0001470263Y0000586156D01* +X0001470287Y0000614114D01* +X0001459611Y0000639952D01* +X0001439859Y0000659738D01* +X0001414040Y0000670460D01* +X0001386082Y0000670484D01* +X0001360244Y0000659808D01* +X0001340457Y0000640056D01* +X0001329736Y0000614236D01* +X0001329712Y0000586279D01* +X0001128346Y0000586279D01* +X0001128346Y0000601493D01* +X0001136860Y0000601493D01* +X0001136860Y0000596106D01* +X0001139370Y0000593596D01* +X0001156750Y0000593596D01* +X0001156750Y0000607026D01* +X0001176450Y0000607026D01* +X0001176450Y0000593596D01* +X0001193829Y0000593596D01* +X0001196339Y0000596106D01* +X0001196339Y0000601493D01* +X0001194810Y0000605183D01* +X0001191986Y0000608007D01* +X0001188296Y0000609536D01* +X0001178959Y0000609536D01* +X0001176450Y0000607026D01* +X0001156750Y0000607026D01* +X0001154240Y0000609536D01* +X0001144903Y0000609536D01* +X0001141213Y0000608007D01* +X0001138389Y0000605183D01* +X0001136860Y0000601493D01* +X0001128346Y0000601493D01* +X0001128346Y0000616256D01* +X0001132500Y0000616256D01* +X0001136658Y0000617038D01* +X0001140476Y0000619496D01* +X0001143038Y0000623245D01* +X0001143940Y0000627696D01* +X0001143940Y0000636436D01* +X0001157460Y0000636436D01* +X0001157460Y0000625699D01* +X0001158989Y0000622009D01* +X0001161813Y0000619185D01* +X0001165503Y0000617657D01* +X0001171240Y0000617657D01* +X0001173750Y0000620167D01* +X0001173750Y0000638946D01* +X0001186250Y0000638946D01* +X0001186250Y0000620167D01* +X0001188759Y0000617657D01* +X0001194496Y0000617657D01* +X0001198186Y0000619185D01* +X0001201010Y0000622009D01* +X0001202539Y0000625699D01* +X0001202539Y0000636436D01* +X0001200029Y0000638946D01* +X0001186250Y0000638946D01* +X0001173750Y0000638946D01* +X0001159970Y0000638946D01* +X0001157460Y0000636436D01* +X0001143940Y0000636436D01* +X0001143940Y0000672696D01* +X0001143564Y0000674693D01* +X0001157460Y0000674693D01* +X0001157460Y0000663956D01* +X0001159970Y0000661446D01* +X0001173750Y0000661446D01* +X0001173750Y0000680226D01* +X0001186250Y0000680226D01* +X0001186250Y0000661446D01* +X0001200029Y0000661446D01* +X0001202539Y0000663956D01* +X0001202539Y0000674693D01* +X0001201010Y0000678383D01* +X0001198186Y0000681207D01* +X0001194496Y0000682736D01* +X0001188759Y0000682736D01* +X0001186250Y0000680226D01* +X0001173750Y0000680226D01* +X0001171240Y0000682736D01* +X0001165503Y0000682736D01* +X0001161813Y0000681207D01* +X0001158989Y0000678383D01* +X0001157460Y0000674693D01* +X0001143564Y0000674693D01* +X0001143157Y0000676854D01* +X0001140700Y0000680673D01* +X0001136950Y0000683235D01* +X0001132500Y0000684137D01* +X0001128346Y0000684137D01* +X0001128346Y0000716256D01* +X0001132500Y0000716256D01* +X0001136658Y0000717038D01* +X0001140476Y0000719496D01* +X0001143038Y0000723245D01* +X0001143940Y0000727696D01* +X0001143940Y0000736437D01* +X0001157460Y0000736437D01* +X0001157460Y0000725699D01* +X0001158989Y0000722010D01* +X0001161813Y0000719185D01* +X0001165503Y0000717657D01* +X0001171240Y0000717657D01* +X0001173750Y0000720167D01* +X0001173750Y0000738946D01* +X0001186250Y0000738946D01* +X0001186250Y0000720167D01* +X0001188759Y0000717657D01* +X0001194496Y0000717657D01* +X0001198186Y0000719185D01* +X0001201010Y0000722010D01* +X0001202539Y0000725699D01* +X0001202539Y0000736437D01* +X0001200029Y0000738946D01* +X0001186250Y0000738946D01* +X0001173750Y0000738946D01* +X0001159970Y0000738946D01* +X0001157460Y0000736437D01* +X0001143940Y0000736437D01* +X0001143940Y0000740154D01* +X0001144006Y0000740253D01* +X0001145984Y0000750196D01* +X0001144006Y0000760140D01* +X0001143940Y0000760239D01* +X0001143940Y0000772696D01* +X0001143564Y0000774693D01* +X0001157460Y0000774693D01* +X0001157460Y0000763956D01* +X0001159970Y0000761446D01* +X0001173750Y0000761446D01* +X0001173750Y0000780226D01* +X0001186250Y0000780226D01* +X0001186250Y0000761446D01* +X0001200029Y0000761446D01* +X0001202539Y0000763956D01* +X0001202539Y0000774693D01* +X0001201010Y0000778383D01* +X0001198186Y0000781207D01* +X0001194496Y0000782736D01* +X0001188759Y0000782736D01* +X0001186250Y0000780226D01* +X0001173750Y0000780226D01* +X0001171240Y0000782736D01* +X0001165503Y0000782736D01* +X0001161813Y0000781207D01* +X0001158989Y0000778383D01* +X0001157460Y0000774693D01* +X0001143564Y0000774693D01* +X0001143157Y0000776854D01* +X0001140700Y0000780673D01* +X0001136950Y0000783235D01* +X0001132500Y0000784137D01* +X0001128346Y0000784137D01* +X0001128346Y0000791256D01* +X0001132500Y0000791256D01* +X0001136658Y0000792038D01* +X0001140476Y0000794496D01* +X0001143038Y0000798245D01* +X0001143940Y0000802696D01* +X0001143940Y0000811437D01* +X0001157460Y0000811437D01* +X0001157460Y0000800699D01* +X0001158989Y0000797010D01* +X0001161813Y0000794185D01* +X0001165503Y0000792657D01* +X0001171240Y0000792657D01* +X0001173750Y0000795167D01* +X0001173750Y0000813946D01* +X0001186250Y0000813946D01* +X0001186250Y0000795167D01* +X0001188759Y0000792657D01* +X0001194496Y0000792657D01* +X0001198186Y0000794185D01* +X0001201010Y0000797010D01* +X0001202539Y0000800699D01* +X0001202539Y0000811437D01* +X0001200029Y0000813946D01* +X0001186250Y0000813946D01* +X0001173750Y0000813946D01* +X0001159970Y0000813946D01* +X0001157460Y0000811437D01* +X0001143940Y0000811437D01* +X0001143940Y0000815154D01* +X0001144006Y0000815253D01* +X0001145984Y0000825196D01* +X0001144006Y0000835140D01* +X0001143940Y0000835239D01* +X0001143940Y0000847696D01* +X0001143564Y0000849693D01* +X0001157460Y0000849693D01* +X0001157460Y0000838956D01* +X0001159970Y0000836446D01* +X0001173750Y0000836446D01* +X0001173750Y0000855226D01* +X0001186250Y0000855226D01* +X0001186250Y0000836446D01* +X0001200029Y0000836446D01* +X0001202539Y0000838956D01* +X0001202539Y0000849693D01* +X0001201010Y0000853383D01* +X0001198186Y0000856207D01* +X0001194496Y0000857736D01* +X0001188759Y0000857736D01* +X0001186250Y0000855226D01* +X0001173750Y0000855226D01* +X0001171240Y0000857736D01* +X0001165503Y0000857736D01* +X0001161813Y0000856207D01* +X0001158989Y0000853383D01* +X0001157460Y0000849693D01* +X0001143564Y0000849693D01* +X0001143157Y0000851854D01* +X0001140700Y0000855673D01* +X0001136950Y0000858235D01* +X0001132500Y0000859137D01* +X0001128346Y0000859137D01* +X0001128346Y0000862204D01* +X0001537007Y0000862204D01* +X0001537007Y0000113188D01* +G04 next file* +G04 #@! TF.FileFunction,Copper,L1,Top,Signal* +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* +G01G01* +G04 APERTURE LIST* +G04 APERTURE END LIST* +D32* +D33* +X-0005000000Y0004409448D02* +X0000549999Y0001584448D03* +D34* +X0000809799Y0001484448D03* +X0000809799Y0001534448D03* +X0000809799Y0001634448D03* +X0000809799Y0001684448D03* +D35* +X0001400000Y0001384448D03* +D36* +X0001400000Y0001584448D03* +D37* +X0001120000Y0001809448D03* +X0001180000Y0001809448D03* +X0001120000Y0001734448D03* +X0001180000Y0001734448D03* +D35* +X0000150000Y0001584448D03* +D36* +X0000150000Y0001384448D03* +D37* +X0000994999Y0001809448D03* +X0001054999Y0001809448D03* +X0000994999Y0001734448D03* +X0001054999Y0001734448D03* +X0001044999Y0001434448D03* +X0001105000Y0001434448D03* +X0001120000Y0001634448D03* +X0001180000Y0001634448D03* +D38* +X0001000000Y0001259448D03* +X0000799999Y0001159448D03* +X0000499999Y0001259448D03* +D39* +X0001166600Y0001496948D03* +X0001083400Y0001534448D03* +X0001166600Y0001571948D03* +D37* +X0001044999Y0001359448D03* +X0001105000Y0001359448D03* +X0000369999Y0001359448D03* +X0000429999Y0001359448D03* +D40* +X0000574999Y0001434448D03* +X0000549999Y0001434448D03* +X0000525000Y0001434448D03* +X0000499999Y0001434448D03* +X0000474999Y0001434448D03* +X0000450000Y0001434448D03* +X0000424999Y0001434448D03* +X0000399999Y0001434448D03* +X0000375000Y0001434448D03* +X0000375000Y0001459448D03* +X0000375000Y0001484448D03* +X0000375000Y0001509448D03* +X0000375000Y0001534448D03* +X0000375000Y0001559448D03* +X0000375000Y0001584448D03* +X0000375000Y0001609448D03* +X0000375000Y0001634448D03* +X0000375000Y0001659448D03* +X0000375000Y0001684448D03* +X0000375000Y0001709448D03* +X0000375000Y0001734448D03* +X0000600000Y0001434448D03* +X0000625000Y0001434448D03* +X0000399999Y0001734448D03* +X0000549999Y0001734448D03* +X0000525000Y0001734448D03* +X0000499999Y0001734448D03* +X0000474999Y0001734448D03* +X0000450000Y0001734448D03* +X0000424999Y0001734448D03* +X0000574999Y0001734448D03* +X0000600000Y0001734448D03* +X0000625000Y0001734448D03* +X0000925000Y0001684448D03* +X0000900000Y0001684448D03* +X0000900000Y0001484448D03* +X0000949999Y0001459448D03* +X0000949999Y0001484448D03* +X0000925000Y0001484448D03* +X0000900000Y0001459448D03* +X0000925000Y0001459448D03* +X0000949999Y0001434448D03* +X0000925000Y0001434448D03* +X0000900000Y0001434448D03* +X0000874999Y0001434448D03* +X0000850000Y0001434448D03* +X0000799999Y0001434448D03* +X0000825000Y0001434448D03* +X0000949999Y0001684448D03* +X0000949999Y0001709448D03* +X0000925000Y0001709448D03* +X0000900000Y0001709448D03* +X0000949999Y0001734448D03* +X0000799999Y0001734448D03* +X0000825000Y0001734448D03* +X0000850000Y0001734448D03* +X0000874999Y0001734448D03* +X0000900000Y0001734448D03* +X0000925000Y0001734448D03* +X0000775000Y0001434448D03* +X0000750000Y0001434448D03* +X0000775000Y0001734448D03* +X0000750000Y0001734448D03* +D41* +X0000549999Y0001434448D02* +X0000574999Y0001434448D01* +X0000574999Y0001434448D02* +X0000600000Y0001434448D01* +X0000600000Y0001434448D02* +X0000625000Y0001434448D01* +X0000549999Y0001584448D02* +X0000375000Y0001584448D01* +X0000549999Y0001434448D02* +X0000549999Y0001584448D01* +X0000399999Y0001734448D02* +X0000375000Y0001734448D01* +X0000375000Y0001734448D02* +X0000375000Y0001709448D01* +X0000375000Y0001709448D02* +X0000375000Y0001684448D01* +X0000375000Y0001684448D02* +X0000375000Y0001659448D01* +X0000375000Y0001659448D02* +X0000375000Y0001634448D01* +X0000375000Y0001634448D02* +X0000375000Y0001609448D01* +X0000375000Y0001609448D02* +X0000375000Y0001584448D01* +X0000399999Y0001734448D02* +X0000424999Y0001734448D01* +X0000450000Y0001734448D02* +X0000424999Y0001734448D01* +X0000474999Y0001734448D02* +X0000450000Y0001734448D01* +X0000499999Y0001734448D02* +X0000474999Y0001734448D01* +X0000525000Y0001734448D02* +X0000499999Y0001734448D01* +X0000549999Y0001734448D02* +X0000525000Y0001734448D01* +X0000549999Y0001734448D02* +X0000549999Y0001584448D01* +X0000375000Y0001434448D02* +X0000399999Y0001434448D01* +X0000399999Y0001434448D02* +X0000424999Y0001434448D01* +X0000424999Y0001434448D02* +X0000450000Y0001434448D01* +X0000450000Y0001434448D02* +X0000474999Y0001434448D01* +X0000474999Y0001434448D02* +X0000499999Y0001434448D01* +X0000499999Y0001434448D02* +X0000525000Y0001434448D01* +X0000525000Y0001434448D02* +X0000549999Y0001434448D01* +X0000375000Y0001584448D02* +X0000375000Y0001559448D01* +X0000375000Y0001559448D02* +X0000375000Y0001534448D01* +X0000375000Y0001534448D02* +X0000375000Y0001509448D01* +X0000375000Y0001509448D02* +X0000375000Y0001484448D01* +X0000375000Y0001484448D02* +X0000375000Y0001459448D01* +X0000375000Y0001459448D02* +X0000375000Y0001434448D01* +X0000549999Y0001734448D02* +X0000574999Y0001734448D01* +X0000574999Y0001734448D02* +X0000600000Y0001734448D01* +X0000600000Y0001734448D02* +X0000625000Y0001734448D01* +X0000994999Y0001809448D02* +X0000600000Y0001809448D01* +X0000549999Y0001759448D02* +X0000549999Y0001734448D01* +X0000600000Y0001809448D02* +X0000549999Y0001759448D01* +D42* +X0001054999Y0001809448D02* +X0001120000Y0001809448D01* +X0001054999Y0001734448D02* +X0001120000Y0001734448D01* +D41* +X0000949999Y0001459448D02* +X0000949999Y0001484448D01* +X0000925000Y0001484448D02* +X0000949999Y0001484448D01* +X0000925000Y0001484448D02* +X0000900000Y0001484448D01* +X0000900000Y0001459448D02* +X0000925000Y0001459448D01* +X0000925000Y0001459448D02* +X0000949999Y0001459448D01* +X0000850000Y0001434448D02* +X0000874999Y0001434448D01* +X0000874999Y0001434448D02* +X0000900000Y0001434448D01* +X0000900000Y0001434448D02* +X0000925000Y0001434448D01* +X0000949999Y0001434448D02* +X0000925000Y0001434448D01* +X0000825000Y0001434448D02* +X0000799999Y0001434448D01* +X0000825000Y0001434448D02* +X0000850000Y0001434448D01* +X0000949999Y0001459448D02* +X0000949999Y0001434448D01* +X0000900000Y0001684448D02* +X0000925000Y0001684448D01* +X0000925000Y0001684448D02* +X0000949999Y0001684448D01* +X0000949999Y0001684448D02* +X0000949999Y0001709448D01* +X0000925000Y0001709448D02* +X0000949999Y0001709448D01* +X0000900000Y0001709448D02* +X0000925000Y0001709448D01* +X0000949999Y0001709448D02* +X0000949999Y0001734448D01* +X0000949999Y0001734448D02* +X0000925000Y0001734448D01* +X0000900000Y0001734448D02* +X0000925000Y0001734448D01* +X0000874999Y0001734448D02* +X0000900000Y0001734448D01* +X0000850000Y0001734448D02* +X0000874999Y0001734448D01* +X0000825000Y0001734448D02* +X0000850000Y0001734448D01* +X0000799999Y0001734448D02* +X0000825000Y0001734448D01* +X0000775000Y0001434448D02* +X0000799999Y0001434448D01* +X0000750000Y0001434448D02* +X0000775000Y0001434448D01* +X0000809799Y0001444248D02* +X0000799999Y0001434448D01* +X0000809799Y0001484448D02* +X0000809799Y0001444248D01* +X0000900000Y0001684448D02* +X0000809799Y0001684448D01* +X0000750000Y0001734448D02* +X0000775000Y0001734448D01* +X0000775000Y0001734448D02* +X0000799999Y0001734448D01* +X0000809799Y0001724648D02* +X0000799999Y0001734448D01* +X0000809799Y0001724648D02* +X0000809799Y0001684448D01* +X0000809799Y0001634448D02* +X0001120000Y0001634448D01* +X0001166600Y0001496948D02* +X0001166600Y0001451048D01* +X0001150000Y0001434448D02* +X0001105000Y0001434448D01* +X0001166600Y0001451048D02* +X0001150000Y0001434448D01* +X0000809799Y0001534448D02* +X0001083400Y0001534448D01* +X0001044999Y0001359448D02* +X0000874999Y0001359448D01* +X0000799999Y0001284448D02* +X0000874999Y0001359448D01* +X0000799999Y0001284448D02* +X0000799999Y0001159448D01* +X0001044999Y0001434448D02* +X0001044999Y0001359448D01* +X0000499999Y0001259448D02* +X0000499999Y0001334448D01* +X0000474999Y0001359448D02* +X0000429999Y0001359448D01* +X0000499999Y0001334448D02* +X0000474999Y0001359448D01* +D43* +G36* +X0000696653Y0001097440D02* +X0000012992Y0001097440D01* +X0000012992Y0001370531D01* +X0000079712Y0001370531D01* +X0000090388Y0001344692D01* +X0000110140Y0001324906D01* +X0000135960Y0001314185D01* +X0000163917Y0001314161D01* +X0000189755Y0001324837D01* +X0000209542Y0001344588D01* +X0000209998Y0001345688D01* +X0000347460Y0001345688D01* +X0000347460Y0001334951D01* +X0000348988Y0001331261D01* +X0000351813Y0001328437D01* +X0000355502Y0001326909D01* +X0000361240Y0001326909D01* +X0000363749Y0001329419D01* +X0000363749Y0001348198D01* +X0000376249Y0001348198D01* +X0000376249Y0001329419D01* +X0000378759Y0001326909D01* +X0000384496Y0001326909D01* +X0000388186Y0001328437D01* +X0000391010Y0001331261D01* +X0000392539Y0001334951D01* +X0000392539Y0001345688D01* +X0000390029Y0001348198D01* +X0000376249Y0001348198D01* +X0000363749Y0001348198D01* +X0000349970Y0001348198D01* +X0000347460Y0001345688D01* +X0000209998Y0001345688D01* +X0000220263Y0001370408D01* +X0000220275Y0001383945D01* +X0000347460Y0001383945D01* +X0000347460Y0001373208D01* +X0000349970Y0001370698D01* +X0000363749Y0001370698D01* +X0000363749Y0001389478D01* +X0000376249Y0001389478D01* +X0000376249Y0001370698D01* +X0000390029Y0001370698D01* +X0000392539Y0001373208D01* +X0000392539Y0001381948D01* +X0000406059Y0001381948D01* +X0000406059Y0001336948D01* +X0000406842Y0001332790D01* +X0000409299Y0001328971D01* +X0000413049Y0001326409D01* +X0000417500Y0001325508D01* +X0000442499Y0001325508D01* +X0000446658Y0001326290D01* +X0000450476Y0001328748D01* +X0000453038Y0001332497D01* +X0000453940Y0001336948D01* +X0000453940Y0001338385D01* +X0000466275Y0001338385D01* +X0000478936Y0001325724D01* +X0000478936Y0001316120D01* +X0000465819Y0001310700D01* +X0000448807Y0001293718D01* +X0000439590Y0001271519D01* +X0000439569Y0001247483D01* +X0000448748Y0001225268D01* +X0000465729Y0001208256D01* +X0000487928Y0001199038D01* +X0000511965Y0001199017D01* +X0000534180Y0001208196D01* +X0000551192Y0001225178D01* +X0000560409Y0001247377D01* +X0000560430Y0001271414D01* +X0000551251Y0001293629D01* +X0000534270Y0001310640D01* +X0000521062Y0001316125D01* +X0000521062Y0001334448D01* +X0000519459Y0001342509D01* +X0000514893Y0001349342D01* +X0000489893Y0001374342D01* +X0000483060Y0001378908D01* +X0000474999Y0001380511D01* +X0000453940Y0001380511D01* +X0000453940Y0001381948D01* +X0000453157Y0001386106D01* +X0000450700Y0001389925D01* +X0000446950Y0001392487D01* +X0000442499Y0001393389D01* +X0000417500Y0001393389D01* +X0000413341Y0001392606D01* +X0000409522Y0001390149D01* +X0000406961Y0001386399D01* +X0000406059Y0001381948D01* +X0000392539Y0001381948D01* +X0000392539Y0001383945D01* +X0000391010Y0001387635D01* +X0000388186Y0001390459D01* +X0000384496Y0001391988D01* +X0000378759Y0001391988D01* +X0000376249Y0001389478D01* +X0000363749Y0001389478D01* +X0000361240Y0001391988D01* +X0000355502Y0001391988D01* +X0000351813Y0001390459D01* +X0000348988Y0001387635D01* +X0000347460Y0001383945D01* +X0000220275Y0001383945D01* +X0000220287Y0001398366D01* +X0000209611Y0001424204D01* +X0000189859Y0001443990D01* +X0000164040Y0001454712D01* +X0000136082Y0001454736D01* +X0000110244Y0001444060D01* +X0000090457Y0001424308D01* +X0000079736Y0001398488D01* +X0000079712Y0001370531D01* +X0000012992Y0001370531D01* +X0000012992Y0001552411D01* +X0000080905Y0001552411D01* +X0000080905Y0001523396D01* +X0000082433Y0001519706D01* +X0000085257Y0001516882D01* +X0000088947Y0001515354D01* +X0000117962Y0001515354D01* +X0000120472Y0001517864D01* +X0000120472Y0001554921D01* +X0000179527Y0001554921D01* +X0000179527Y0001517864D01* +X0000182037Y0001515354D01* +X0000211052Y0001515354D01* +X0000214741Y0001516882D01* +X0000217566Y0001519706D01* +X0000219094Y0001523396D01* +X0000219094Y0001545915D01* +X0000406110Y0001545915D01* +X0000406110Y0001459401D01* +X0000407639Y0001455711D01* +X0000410463Y0001452887D01* +X0000414153Y0001451359D01* +X0000511466Y0001451359D01* +X0000513976Y0001453869D01* +X0000513976Y0001548425D01* +X0000586023Y0001548425D01* +X0000586023Y0001453869D01* +X0000588533Y0001451359D01* +X0000685846Y0001451359D01* +X0000689536Y0001452887D01* +X0000692360Y0001455711D01* +X0000693889Y0001459401D01* +X0000693889Y0001545915D01* +X0000691379Y0001548425D01* +X0000586023Y0001548425D01* +X0000513976Y0001548425D01* +X0000408620Y0001548425D01* +X0000406110Y0001545915D01* +X0000219094Y0001545915D01* +X0000219094Y0001552411D01* +X0000216584Y0001554921D01* +X0000179527Y0001554921D01* +X0000120472Y0001554921D01* +X0000083415Y0001554921D01* +X0000080905Y0001552411D01* +X0000012992Y0001552411D01* +X0000012992Y0001645500D01* +X0000080905Y0001645500D01* +X0000080905Y0001616486D01* +X0000083415Y0001613976D01* +X0000120472Y0001613976D01* +X0000120472Y0001651033D01* +X0000179527Y0001651033D01* +X0000179527Y0001613976D01* +X0000216584Y0001613976D01* +X0000219094Y0001616486D01* +X0000219094Y0001645500D01* +X0000217566Y0001649190D01* +X0000214741Y0001652014D01* +X0000211052Y0001653543D01* +X0000182037Y0001653543D01* +X0000179527Y0001651033D01* +X0000120472Y0001651033D01* +X0000117962Y0001653543D01* +X0000088947Y0001653543D01* +X0000085257Y0001652014D01* +X0000082433Y0001649190D01* +X0000080905Y0001645500D01* +X0000012992Y0001645500D01* +X0000012992Y0001709495D01* +X0000406110Y0001709495D01* +X0000406110Y0001622982D01* +X0000408620Y0001620472D01* +X0000513976Y0001620472D01* +X0000513976Y0001715028D01* +X0000586023Y0001715028D01* +X0000586023Y0001620472D01* +X0000691379Y0001620472D01* +X0000693889Y0001622982D01* +X0000693889Y0001709495D01* +X0000692360Y0001713185D01* +X0000689536Y0001716009D01* +X0000685846Y0001717538D01* +X0000588533Y0001717538D01* +X0000586023Y0001715028D01* +X0000513976Y0001715028D01* +X0000511466Y0001717538D01* +X0000414153Y0001717538D01* +X0000410463Y0001716009D01* +X0000407639Y0001713185D01* +X0000406110Y0001709495D01* +X0000012992Y0001709495D01* +X0000012992Y0001846456D01* +X0000696653Y0001846456D01* +X0000696653Y0001097440D01* +X0000696653Y0001097440D01* +G37* +X0000696653Y0001097440D02* +X0000012992Y0001097440D01* +X0000012992Y0001370531D01* +X0000079712Y0001370531D01* +X0000090388Y0001344692D01* +X0000110140Y0001324906D01* +X0000135960Y0001314185D01* +X0000163917Y0001314161D01* +X0000189755Y0001324837D01* +X0000209542Y0001344588D01* +X0000209998Y0001345688D01* +X0000347460Y0001345688D01* +X0000347460Y0001334951D01* +X0000348988Y0001331261D01* +X0000351813Y0001328437D01* +X0000355502Y0001326909D01* +X0000361240Y0001326909D01* +X0000363749Y0001329419D01* +X0000363749Y0001348198D01* +X0000376249Y0001348198D01* +X0000376249Y0001329419D01* +X0000378759Y0001326909D01* +X0000384496Y0001326909D01* +X0000388186Y0001328437D01* +X0000391010Y0001331261D01* +X0000392539Y0001334951D01* +X0000392539Y0001345688D01* +X0000390029Y0001348198D01* +X0000376249Y0001348198D01* +X0000363749Y0001348198D01* +X0000349970Y0001348198D01* +X0000347460Y0001345688D01* +X0000209998Y0001345688D01* +X0000220263Y0001370408D01* +X0000220275Y0001383945D01* +X0000347460Y0001383945D01* +X0000347460Y0001373208D01* +X0000349970Y0001370698D01* +X0000363749Y0001370698D01* +X0000363749Y0001389478D01* +X0000376249Y0001389478D01* +X0000376249Y0001370698D01* +X0000390029Y0001370698D01* +X0000392539Y0001373208D01* +X0000392539Y0001381948D01* +X0000406059Y0001381948D01* +X0000406059Y0001336948D01* +X0000406842Y0001332790D01* +X0000409299Y0001328971D01* +X0000413049Y0001326409D01* +X0000417500Y0001325508D01* +X0000442499Y0001325508D01* +X0000446658Y0001326290D01* +X0000450476Y0001328748D01* +X0000453038Y0001332497D01* +X0000453940Y0001336948D01* +X0000453940Y0001338385D01* +X0000466275Y0001338385D01* +X0000478936Y0001325724D01* +X0000478936Y0001316120D01* +X0000465819Y0001310700D01* +X0000448807Y0001293718D01* +X0000439590Y0001271519D01* +X0000439569Y0001247483D01* +X0000448748Y0001225268D01* +X0000465729Y0001208256D01* +X0000487928Y0001199038D01* +X0000511965Y0001199017D01* +X0000534180Y0001208196D01* +X0000551192Y0001225178D01* +X0000560409Y0001247377D01* +X0000560430Y0001271414D01* +X0000551251Y0001293629D01* +X0000534270Y0001310640D01* +X0000521062Y0001316125D01* +X0000521062Y0001334448D01* +X0000519459Y0001342509D01* +X0000514893Y0001349342D01* +X0000489893Y0001374342D01* +X0000483060Y0001378908D01* +X0000474999Y0001380511D01* +X0000453940Y0001380511D01* +X0000453940Y0001381948D01* +X0000453157Y0001386106D01* +X0000450700Y0001389925D01* +X0000446950Y0001392487D01* +X0000442499Y0001393389D01* +X0000417500Y0001393389D01* +X0000413341Y0001392606D01* +X0000409522Y0001390149D01* +X0000406961Y0001386399D01* +X0000406059Y0001381948D01* +X0000392539Y0001381948D01* +X0000392539Y0001383945D01* +X0000391010Y0001387635D01* +X0000388186Y0001390459D01* +X0000384496Y0001391988D01* +X0000378759Y0001391988D01* +X0000376249Y0001389478D01* +X0000363749Y0001389478D01* +X0000361240Y0001391988D01* +X0000355502Y0001391988D01* +X0000351813Y0001390459D01* +X0000348988Y0001387635D01* +X0000347460Y0001383945D01* +X0000220275Y0001383945D01* +X0000220287Y0001398366D01* +X0000209611Y0001424204D01* +X0000189859Y0001443990D01* +X0000164040Y0001454712D01* +X0000136082Y0001454736D01* +X0000110244Y0001444060D01* +X0000090457Y0001424308D01* +X0000079736Y0001398488D01* +X0000079712Y0001370531D01* +X0000012992Y0001370531D01* +X0000012992Y0001552411D01* +X0000080905Y0001552411D01* +X0000080905Y0001523396D01* +X0000082433Y0001519706D01* +X0000085257Y0001516882D01* +X0000088947Y0001515354D01* +X0000117962Y0001515354D01* +X0000120472Y0001517864D01* +X0000120472Y0001554921D01* +X0000179527Y0001554921D01* +X0000179527Y0001517864D01* +X0000182037Y0001515354D01* +X0000211052Y0001515354D01* +X0000214741Y0001516882D01* +X0000217566Y0001519706D01* +X0000219094Y0001523396D01* +X0000219094Y0001545915D01* +X0000406110Y0001545915D01* +X0000406110Y0001459401D01* +X0000407639Y0001455711D01* +X0000410463Y0001452887D01* +X0000414153Y0001451359D01* +X0000511466Y0001451359D01* +X0000513976Y0001453869D01* +X0000513976Y0001548425D01* +X0000586023Y0001548425D01* +X0000586023Y0001453869D01* +X0000588533Y0001451359D01* +X0000685846Y0001451359D01* +X0000689536Y0001452887D01* +X0000692360Y0001455711D01* +X0000693889Y0001459401D01* +X0000693889Y0001545915D01* +X0000691379Y0001548425D01* +X0000586023Y0001548425D01* +X0000513976Y0001548425D01* +X0000408620Y0001548425D01* +X0000406110Y0001545915D01* +X0000219094Y0001545915D01* +X0000219094Y0001552411D01* +X0000216584Y0001554921D01* +X0000179527Y0001554921D01* +X0000120472Y0001554921D01* +X0000083415Y0001554921D01* +X0000080905Y0001552411D01* +X0000012992Y0001552411D01* +X0000012992Y0001645500D01* +X0000080905Y0001645500D01* +X0000080905Y0001616486D01* +X0000083415Y0001613976D01* +X0000120472Y0001613976D01* +X0000120472Y0001651033D01* +X0000179527Y0001651033D01* +X0000179527Y0001613976D01* +X0000216584Y0001613976D01* +X0000219094Y0001616486D01* +X0000219094Y0001645500D01* +X0000217566Y0001649190D01* +X0000214741Y0001652014D01* +X0000211052Y0001653543D01* +X0000182037Y0001653543D01* +X0000179527Y0001651033D01* +X0000120472Y0001651033D01* +X0000117962Y0001653543D01* +X0000088947Y0001653543D01* +X0000085257Y0001652014D01* +X0000082433Y0001649190D01* +X0000080905Y0001645500D01* +X0000012992Y0001645500D01* +X0000012992Y0001709495D01* +X0000406110Y0001709495D01* +X0000406110Y0001622982D01* +X0000408620Y0001620472D01* +X0000513976Y0001620472D01* +X0000513976Y0001715028D01* +X0000586023Y0001715028D01* +X0000586023Y0001620472D01* +X0000691379Y0001620472D01* +X0000693889Y0001622982D01* +X0000693889Y0001709495D01* +X0000692360Y0001713185D01* +X0000689536Y0001716009D01* +X0000685846Y0001717538D01* +X0000588533Y0001717538D01* +X0000586023Y0001715028D01* +X0000513976Y0001715028D01* +X0000511466Y0001717538D01* +X0000414153Y0001717538D01* +X0000410463Y0001716009D01* +X0000407639Y0001713185D01* +X0000406110Y0001709495D01* +X0000012992Y0001709495D01* +X0000012992Y0001846456D01* +X0000696653Y0001846456D01* +X0000696653Y0001097440D01* +G36* +X0000972460Y0001748208D02* +X0000974970Y0001745698D01* +X0000988750Y0001745698D01* +X0000988750Y0001756102D01* +X0001001249Y0001756102D01* +X0001001249Y0001745698D01* +X0001015029Y0001745698D01* +X0001017539Y0001748208D01* +X0001017539Y0001756102D01* +X0001021653Y0001756102D01* +X0001021653Y0001685834D01* +X0000998613Y0001662795D01* +X0000877344Y0001662795D01* +X0000877360Y0001662811D01* +X0000878889Y0001666501D01* +X0000878889Y0001673963D01* +X0000876379Y0001676473D01* +X0000839325Y0001676473D01* +X0000839325Y0001668498D01* +X0000780274Y0001668498D01* +X0000780274Y0001676473D01* +X0000743220Y0001676473D01* +X0000740710Y0001673963D01* +X0000740710Y0001666501D01* +X0000742239Y0001662811D01* +X0000742255Y0001662795D01* +X0000728346Y0001662795D01* +X0000728346Y0001702395D01* +X0000740710Y0001702395D01* +X0000740710Y0001694933D01* +X0000743220Y0001692423D01* +X0000780274Y0001692423D01* +X0000780274Y0001707928D01* +X0000839325Y0001707928D01* +X0000839325Y0001692423D01* +X0000876379Y0001692423D01* +X0000878889Y0001694933D01* +X0000878889Y0001702395D01* +X0000877360Y0001706085D01* +X0000874536Y0001708909D01* +X0000870846Y0001710438D01* +X0000841834Y0001710438D01* +X0000839325Y0001707928D01* +X0000780274Y0001707928D01* +X0000777765Y0001710438D01* +X0000748753Y0001710438D01* +X0000745063Y0001708909D01* +X0000742239Y0001706085D01* +X0000740710Y0001702395D01* +X0000728346Y0001702395D01* +X0000728346Y0001720688D01* +X0000972460Y0001720688D01* +X0000972460Y0001709951D01* +X0000973988Y0001706261D01* +X0000976813Y0001703437D01* +X0000980502Y0001701909D01* +X0000986240Y0001701909D01* +X0000988750Y0001704419D01* +X0000988750Y0001723198D01* +X0001001249Y0001723198D01* +X0001001249Y0001704419D01* +X0001003759Y0001701909D01* +X0001009496Y0001701909D01* +X0001013186Y0001703437D01* +X0001016010Y0001706261D01* +X0001017539Y0001709951D01* +X0001017539Y0001720688D01* +X0001015029Y0001723198D01* +X0001001249Y0001723198D01* +X0000988750Y0001723198D01* +X0000974970Y0001723198D01* +X0000972460Y0001720688D01* +X0000728346Y0001720688D01* +X0000728346Y0001733062D01* +X0000751386Y0001756102D01* +X0000972460Y0001756102D01* +X0000972460Y0001748208D01* +X0000972460Y0001748208D01* +G37* +X0000972460Y0001748208D02* +X0000974970Y0001745698D01* +X0000988750Y0001745698D01* +X0000988750Y0001756102D01* +X0001001249Y0001756102D01* +X0001001249Y0001745698D01* +X0001015029Y0001745698D01* +X0001017539Y0001748208D01* +X0001017539Y0001756102D01* +X0001021653Y0001756102D01* +X0001021653Y0001685834D01* +X0000998613Y0001662795D01* +X0000877344Y0001662795D01* +X0000877360Y0001662811D01* +X0000878889Y0001666501D01* +X0000878889Y0001673963D01* +X0000876379Y0001676473D01* +X0000839325Y0001676473D01* +X0000839325Y0001668498D01* +X0000780274Y0001668498D01* +X0000780274Y0001676473D01* +X0000743220Y0001676473D01* +X0000740710Y0001673963D01* +X0000740710Y0001666501D01* +X0000742239Y0001662811D01* +X0000742255Y0001662795D01* +X0000728346Y0001662795D01* +X0000728346Y0001702395D01* +X0000740710Y0001702395D01* +X0000740710Y0001694933D01* +X0000743220Y0001692423D01* +X0000780274Y0001692423D01* +X0000780274Y0001707928D01* +X0000839325Y0001707928D01* +X0000839325Y0001692423D01* +X0000876379Y0001692423D01* +X0000878889Y0001694933D01* +X0000878889Y0001702395D01* +X0000877360Y0001706085D01* +X0000874536Y0001708909D01* +X0000870846Y0001710438D01* +X0000841834Y0001710438D01* +X0000839325Y0001707928D01* +X0000780274Y0001707928D01* +X0000777765Y0001710438D01* +X0000748753Y0001710438D01* +X0000745063Y0001708909D01* +X0000742239Y0001706085D01* +X0000740710Y0001702395D01* +X0000728346Y0001702395D01* +X0000728346Y0001720688D01* +X0000972460Y0001720688D01* +X0000972460Y0001709951D01* +X0000973988Y0001706261D01* +X0000976813Y0001703437D01* +X0000980502Y0001701909D01* +X0000986240Y0001701909D01* +X0000988750Y0001704419D01* +X0000988750Y0001723198D01* +X0001001249Y0001723198D01* +X0001001249Y0001704419D01* +X0001003759Y0001701909D01* +X0001009496Y0001701909D01* +X0001013186Y0001703437D01* +X0001016010Y0001706261D01* +X0001017539Y0001709951D01* +X0001017539Y0001720688D01* +X0001015029Y0001723198D01* +X0001001249Y0001723198D01* +X0000988750Y0001723198D01* +X0000974970Y0001723198D01* +X0000972460Y0001720688D01* +X0000728346Y0001720688D01* +X0000728346Y0001733062D01* +X0000751386Y0001756102D01* +X0000972460Y0001756102D01* +X0000972460Y0001748208D01* +G36* +X0000742239Y0001506085D02* +X0000740710Y0001502395D01* +X0000740710Y0001494933D01* +X0000743220Y0001492423D01* +X0000780274Y0001492423D01* +X0000780274Y0001500398D01* +X0000839325Y0001500398D01* +X0000839325Y0001492423D01* +X0000876379Y0001492423D01* +X0000878889Y0001494933D01* +X0000878889Y0001502395D01* +X0000877360Y0001506085D01* +X0000877344Y0001506102D01* +X0000948613Y0001506102D01* +X0000971653Y0001483062D01* +X0000971653Y0001435834D01* +X0000948613Y0001412795D01* +X0000751386Y0001412795D01* +X0000728346Y0001435834D01* +X0000728346Y0001473963D01* +X0000740710Y0001473963D01* +X0000740710Y0001466501D01* +X0000742239Y0001462811D01* +X0000745063Y0001459987D01* +X0000748753Y0001458459D01* +X0000777765Y0001458459D01* +X0000780274Y0001460969D01* +X0000780274Y0001476473D01* +X0000839325Y0001476473D01* +X0000839325Y0001460969D01* +X0000841834Y0001458459D01* +X0000870846Y0001458459D01* +X0000874536Y0001459987D01* +X0000877360Y0001462811D01* +X0000878889Y0001466501D01* +X0000878889Y0001473963D01* +X0000876379Y0001476473D01* +X0000839325Y0001476473D01* +X0000780274Y0001476473D01* +X0000743220Y0001476473D01* +X0000740710Y0001473963D01* +X0000728346Y0001473963D01* +X0000728346Y0001506102D01* +X0000742255Y0001506102D01* +X0000742239Y0001506085D01* +X0000742239Y0001506085D01* +G37* +X0000742239Y0001506085D02* +X0000740710Y0001502395D01* +X0000740710Y0001494933D01* +X0000743220Y0001492423D01* +X0000780274Y0001492423D01* +X0000780274Y0001500398D01* +X0000839325Y0001500398D01* +X0000839325Y0001492423D01* +X0000876379Y0001492423D01* +X0000878889Y0001494933D01* +X0000878889Y0001502395D01* +X0000877360Y0001506085D01* +X0000877344Y0001506102D01* +X0000948613Y0001506102D01* +X0000971653Y0001483062D01* +X0000971653Y0001435834D01* +X0000948613Y0001412795D01* +X0000751386Y0001412795D01* +X0000728346Y0001435834D01* +X0000728346Y0001473963D01* +X0000740710Y0001473963D01* +X0000740710Y0001466501D01* +X0000742239Y0001462811D01* +X0000745063Y0001459987D01* +X0000748753Y0001458459D01* +X0000777765Y0001458459D01* +X0000780274Y0001460969D01* +X0000780274Y0001476473D01* +X0000839325Y0001476473D01* +X0000839325Y0001460969D01* +X0000841834Y0001458459D01* +X0000870846Y0001458459D01* +X0000874536Y0001459987D01* +X0000877360Y0001462811D01* +X0000878889Y0001466501D01* +X0000878889Y0001473963D01* +X0000876379Y0001476473D01* +X0000839325Y0001476473D01* +X0000780274Y0001476473D01* +X0000743220Y0001476473D01* +X0000740710Y0001473963D01* +X0000728346Y0001473963D01* +X0000728346Y0001506102D01* +X0000742255Y0001506102D01* +X0000742239Y0001506085D01* +G36* +X0001537007Y0001097440D02* +X0000803346Y0001097440D01* +X0000803346Y0001099025D01* +X0000811965Y0001099017D01* +X0000834180Y0001108196D01* +X0000851192Y0001125178D01* +X0000860409Y0001147377D01* +X0000860430Y0001171414D01* +X0000851251Y0001193629D01* +X0000839901Y0001204999D01* +X0000980340Y0001204999D01* +X0000987477Y0001200361D01* +X0001011042Y0001200066D01* +X0001012522Y0001200361D01* +X0001019659Y0001204999D01* +X0001000000Y0001224659D01* +X0000980340Y0001204999D01* +X0000839901Y0001204999D01* +X0000834270Y0001210640D01* +X0000821062Y0001216125D01* +X0000821062Y0001248406D01* +X0000940618Y0001248406D01* +X0000940912Y0001246926D01* +X0000945550Y0001239788D01* +X0000965210Y0001259448D01* +X0001034789Y0001259448D01* +X0001054449Y0001239788D01* +X0001059087Y0001246926D01* +X0001059381Y0001270491D01* +X0001059087Y0001271971D01* +X0001054449Y0001279108D01* +X0001034789Y0001259448D01* +X0000965210Y0001259448D01* +X0000945550Y0001279108D01* +X0000940912Y0001271971D01* +X0000940618Y0001248406D01* +X0000821062Y0001248406D01* +X0000821062Y0001275724D01* +X0000859237Y0001313898D01* +X0000980340Y0001313898D01* +X0001000000Y0001294238D01* +X0001019659Y0001313898D01* +X0001012522Y0001318536D01* +X0000988957Y0001318830D01* +X0000987477Y0001318536D01* +X0000980340Y0001313898D01* +X0000859237Y0001313898D01* +X0000883724Y0001338385D01* +X0001021059Y0001338385D01* +X0001021059Y0001336948D01* +X0001021842Y0001332790D01* +X0001024299Y0001328971D01* +X0001028049Y0001326409D01* +X0001032500Y0001325508D01* +X0001057500Y0001325508D01* +X0001061658Y0001326290D01* +X0001065476Y0001328748D01* +X0001068038Y0001332497D01* +X0001068940Y0001336948D01* +X0001068940Y0001345688D01* +X0001082460Y0001345688D01* +X0001082460Y0001334951D01* +X0001083989Y0001331261D01* +X0001086813Y0001328437D01* +X0001090503Y0001326909D01* +X0001096240Y0001326909D01* +X0001098750Y0001329419D01* +X0001098750Y0001348198D01* +X0001111250Y0001348198D01* +X0001111250Y0001329419D01* +X0001113759Y0001326909D01* +X0001119496Y0001326909D01* +X0001123186Y0001328437D01* +X0001126010Y0001331261D01* +X0001127539Y0001334951D01* +X0001127539Y0001345688D01* +X0001125029Y0001348198D01* +X0001111250Y0001348198D01* +X0001098750Y0001348198D01* +X0001084970Y0001348198D01* +X0001082460Y0001345688D01* +X0001068940Y0001345688D01* +X0001068940Y0001352411D01* +X0001330905Y0001352411D01* +X0001330905Y0001323396D01* +X0001332433Y0001319706D01* +X0001335257Y0001316882D01* +X0001338947Y0001315354D01* +X0001367962Y0001315354D01* +X0001370472Y0001317864D01* +X0001370472Y0001354921D01* +X0001429527Y0001354921D01* +X0001429527Y0001317864D01* +X0001432037Y0001315354D01* +X0001461052Y0001315354D01* +X0001464741Y0001316882D01* +X0001467566Y0001319706D01* +X0001469094Y0001323396D01* +X0001469094Y0001352411D01* +X0001466584Y0001354921D01* +X0001429527Y0001354921D01* +X0001370472Y0001354921D01* +X0001333415Y0001354921D01* +X0001330905Y0001352411D01* +X0001068940Y0001352411D01* +X0001068940Y0001381948D01* +X0001068564Y0001383945D01* +X0001082460Y0001383945D01* +X0001082460Y0001373208D01* +X0001084970Y0001370698D01* +X0001098750Y0001370698D01* +X0001098750Y0001389478D01* +X0001111250Y0001389478D01* +X0001111250Y0001370698D01* +X0001125029Y0001370698D01* +X0001127539Y0001373208D01* +X0001127539Y0001383945D01* +X0001126010Y0001387635D01* +X0001123186Y0001390459D01* +X0001119496Y0001391988D01* +X0001113759Y0001391988D01* +X0001111250Y0001389478D01* +X0001098750Y0001389478D01* +X0001096240Y0001391988D01* +X0001090503Y0001391988D01* +X0001086813Y0001390459D01* +X0001083989Y0001387635D01* +X0001082460Y0001383945D01* +X0001068564Y0001383945D01* +X0001068157Y0001386106D01* +X0001066062Y0001389362D01* +X0001066062Y0001404605D01* +X0001068038Y0001407497D01* +X0001068940Y0001411948D01* +X0001068940Y0001456948D01* +X0001081059Y0001456948D01* +X0001081059Y0001411948D01* +X0001081842Y0001407790D01* +X0001084299Y0001403971D01* +X0001088049Y0001401409D01* +X0001092500Y0001400508D01* +X0001117500Y0001400508D01* +X0001121658Y0001401290D01* +X0001125476Y0001403748D01* +X0001128038Y0001407497D01* +X0001128940Y0001411948D01* +X0001128940Y0001413385D01* +X0001150000Y0001413385D01* +X0001158060Y0001414989D01* +X0001164893Y0001419555D01* +X0001181493Y0001436155D01* +X0001186059Y0001442988D01* +X0001186559Y0001445500D01* +X0001330905Y0001445500D01* +X0001330905Y0001416486D01* +X0001333415Y0001413976D01* +X0001370472Y0001413976D01* +X0001370472Y0001451033D01* +X0001429527Y0001451033D01* +X0001429527Y0001413976D01* +X0001466584Y0001413976D01* +X0001469094Y0001416486D01* +X0001469094Y0001445500D01* +X0001467566Y0001449190D01* +X0001464741Y0001452014D01* +X0001461052Y0001453543D01* +X0001432037Y0001453543D01* +X0001429527Y0001451033D01* +X0001370472Y0001451033D01* +X0001367962Y0001453543D01* +X0001338947Y0001453543D01* +X0001335257Y0001452014D01* +X0001332433Y0001449190D01* +X0001330905Y0001445500D01* +X0001186559Y0001445500D01* +X0001187662Y0001451048D01* +X0001187662Y0001473965D01* +X0001190458Y0001474490D01* +X0001194276Y0001476948D01* +X0001196838Y0001480697D01* +X0001197740Y0001485148D01* +X0001197740Y0001508748D01* +X0001196957Y0001512906D01* +X0001194500Y0001516725D01* +X0001190750Y0001519287D01* +X0001186300Y0001520189D01* +X0001146899Y0001520189D01* +X0001142741Y0001519406D01* +X0001138922Y0001516949D01* +X0001136361Y0001513199D01* +X0001135459Y0001508748D01* +X0001135459Y0001485148D01* +X0001136242Y0001480990D01* +X0001138699Y0001477171D01* +X0001142449Y0001474609D01* +X0001145537Y0001473984D01* +X0001145537Y0001459773D01* +X0001141275Y0001455511D01* +X0001128940Y0001455511D01* +X0001128940Y0001456948D01* +X0001128157Y0001461106D01* +X0001125700Y0001464925D01* +X0001121950Y0001467487D01* +X0001117500Y0001468389D01* +X0001092500Y0001468389D01* +X0001088341Y0001467606D01* +X0001084523Y0001465149D01* +X0001081961Y0001461399D01* +X0001081059Y0001456948D01* +X0001068940Y0001456948D01* +X0001068157Y0001461106D01* +X0001065700Y0001464925D01* +X0001062438Y0001467154D01* +X0001076386Y0001481102D01* +X0001099999Y0001481102D01* +X0001101301Y0001481365D01* +X0001102366Y0001482082D01* +X0001127366Y0001507082D01* +X0001128100Y0001508189D01* +X0001128346Y0001509448D01* +X0001128346Y0001563538D01* +X0001136860Y0001563538D01* +X0001136860Y0001558151D01* +X0001138389Y0001554461D01* +X0001141213Y0001551637D01* +X0001144903Y0001550109D01* +X0001154240Y0001550109D01* +X0001156750Y0001552619D01* +X0001156750Y0001566048D01* +X0001176450Y0001566048D01* +X0001176450Y0001552619D01* +X0001178959Y0001550109D01* +X0001188296Y0001550109D01* +X0001191986Y0001551637D01* +X0001194810Y0001554461D01* +X0001196339Y0001558151D01* +X0001196339Y0001563538D01* +X0001193829Y0001566048D01* +X0001176450Y0001566048D01* +X0001156750Y0001566048D01* +X0001139370Y0001566048D01* +X0001136860Y0001563538D01* +X0001128346Y0001563538D01* +X0001128346Y0001570531D01* +X0001329712Y0001570531D01* +X0001340388Y0001544692D01* +X0001360140Y0001524906D01* +X0001385960Y0001514185D01* +X0001413917Y0001514161D01* +X0001439755Y0001524837D01* +X0001459542Y0001544588D01* +X0001470263Y0001570408D01* +X0001470287Y0001598366D01* +X0001459611Y0001624204D01* +X0001439859Y0001643990D01* +X0001414040Y0001654712D01* +X0001386082Y0001654736D01* +X0001360244Y0001644060D01* +X0001340457Y0001624308D01* +X0001329736Y0001598488D01* +X0001329712Y0001570531D01* +X0001128346Y0001570531D01* +X0001128346Y0001585745D01* +X0001136860Y0001585745D01* +X0001136860Y0001580358D01* +X0001139370Y0001577848D01* +X0001156750Y0001577848D01* +X0001156750Y0001591278D01* +X0001176450Y0001591278D01* +X0001176450Y0001577848D01* +X0001193829Y0001577848D01* +X0001196339Y0001580358D01* +X0001196339Y0001585745D01* +X0001194810Y0001589435D01* +X0001191986Y0001592259D01* +X0001188296Y0001593788D01* +X0001178959Y0001593788D01* +X0001176450Y0001591278D01* +X0001156750Y0001591278D01* +X0001154240Y0001593788D01* +X0001144903Y0001593788D01* +X0001141213Y0001592259D01* +X0001138389Y0001589435D01* +X0001136860Y0001585745D01* +X0001128346Y0001585745D01* +X0001128346Y0001600508D01* +X0001132500Y0001600508D01* +X0001136658Y0001601290D01* +X0001140476Y0001603748D01* +X0001143038Y0001607497D01* +X0001143940Y0001611948D01* +X0001143940Y0001620688D01* +X0001157460Y0001620688D01* +X0001157460Y0001609951D01* +X0001158989Y0001606261D01* +X0001161813Y0001603437D01* +X0001165503Y0001601909D01* +X0001171240Y0001601909D01* +X0001173750Y0001604419D01* +X0001173750Y0001623198D01* +X0001186250Y0001623198D01* +X0001186250Y0001604419D01* +X0001188759Y0001601909D01* +X0001194496Y0001601909D01* +X0001198186Y0001603437D01* +X0001201010Y0001606261D01* +X0001202539Y0001609951D01* +X0001202539Y0001620688D01* +X0001200029Y0001623198D01* +X0001186250Y0001623198D01* +X0001173750Y0001623198D01* +X0001159970Y0001623198D01* +X0001157460Y0001620688D01* +X0001143940Y0001620688D01* +X0001143940Y0001656948D01* +X0001143564Y0001658945D01* +X0001157460Y0001658945D01* +X0001157460Y0001648208D01* +X0001159970Y0001645698D01* +X0001173750Y0001645698D01* +X0001173750Y0001664478D01* +X0001186250Y0001664478D01* +X0001186250Y0001645698D01* +X0001200029Y0001645698D01* +X0001202539Y0001648208D01* +X0001202539Y0001658945D01* +X0001201010Y0001662635D01* +X0001198186Y0001665459D01* +X0001194496Y0001666988D01* +X0001188759Y0001666988D01* +X0001186250Y0001664478D01* +X0001173750Y0001664478D01* +X0001171240Y0001666988D01* +X0001165503Y0001666988D01* +X0001161813Y0001665459D01* +X0001158989Y0001662635D01* +X0001157460Y0001658945D01* +X0001143564Y0001658945D01* +X0001143157Y0001661106D01* +X0001140700Y0001664925D01* +X0001136950Y0001667487D01* +X0001132500Y0001668389D01* +X0001128346Y0001668389D01* +X0001128346Y0001700508D01* +X0001132500Y0001700508D01* +X0001136658Y0001701290D01* +X0001140476Y0001703748D01* +X0001143038Y0001707497D01* +X0001143940Y0001711948D01* +X0001143940Y0001720688D01* +X0001157460Y0001720688D01* +X0001157460Y0001709951D01* +X0001158989Y0001706261D01* +X0001161813Y0001703437D01* +X0001165503Y0001701909D01* +X0001171240Y0001701909D01* +X0001173750Y0001704419D01* +X0001173750Y0001723198D01* +X0001186250Y0001723198D01* +X0001186250Y0001704419D01* +X0001188759Y0001701909D01* +X0001194496Y0001701909D01* +X0001198186Y0001703437D01* +X0001201010Y0001706261D01* +X0001202539Y0001709951D01* +X0001202539Y0001720688D01* +X0001200029Y0001723198D01* +X0001186250Y0001723198D01* +X0001173750Y0001723198D01* +X0001159970Y0001723198D01* +X0001157460Y0001720688D01* +X0001143940Y0001720688D01* +X0001143940Y0001724406D01* +X0001144006Y0001724505D01* +X0001145984Y0001734448D01* +X0001144006Y0001744392D01* +X0001143940Y0001744491D01* +X0001143940Y0001756948D01* +X0001143564Y0001758945D01* +X0001157460Y0001758945D01* +X0001157460Y0001748208D01* +X0001159970Y0001745698D01* +X0001173750Y0001745698D01* +X0001173750Y0001764478D01* +X0001186250Y0001764478D01* +X0001186250Y0001745698D01* +X0001200029Y0001745698D01* +X0001202539Y0001748208D01* +X0001202539Y0001758945D01* +X0001201010Y0001762635D01* +X0001198186Y0001765459D01* +X0001194496Y0001766988D01* +X0001188759Y0001766988D01* +X0001186250Y0001764478D01* +X0001173750Y0001764478D01* +X0001171240Y0001766988D01* +X0001165503Y0001766988D01* +X0001161813Y0001765459D01* +X0001158989Y0001762635D01* +X0001157460Y0001758945D01* +X0001143564Y0001758945D01* +X0001143157Y0001761106D01* +X0001140700Y0001764925D01* +X0001136950Y0001767487D01* +X0001132500Y0001768389D01* +X0001128346Y0001768389D01* +X0001128346Y0001775508D01* +X0001132500Y0001775508D01* +X0001136658Y0001776290D01* +X0001140476Y0001778748D01* +X0001143038Y0001782497D01* +X0001143940Y0001786948D01* +X0001143940Y0001795688D01* +X0001157460Y0001795688D01* +X0001157460Y0001784951D01* +X0001158989Y0001781261D01* +X0001161813Y0001778437D01* +X0001165503Y0001776909D01* +X0001171240Y0001776909D01* +X0001173750Y0001779419D01* +X0001173750Y0001798198D01* +X0001186250Y0001798198D01* +X0001186250Y0001779419D01* +X0001188759Y0001776909D01* +X0001194496Y0001776909D01* +X0001198186Y0001778437D01* +X0001201010Y0001781261D01* +X0001202539Y0001784951D01* +X0001202539Y0001795688D01* +X0001200029Y0001798198D01* +X0001186250Y0001798198D01* +X0001173750Y0001798198D01* +X0001159970Y0001798198D01* +X0001157460Y0001795688D01* +X0001143940Y0001795688D01* +X0001143940Y0001799406D01* +X0001144006Y0001799505D01* +X0001145984Y0001809448D01* +X0001144006Y0001819392D01* +X0001143940Y0001819491D01* +X0001143940Y0001831948D01* +X0001143564Y0001833945D01* +X0001157460Y0001833945D01* +X0001157460Y0001823208D01* +X0001159970Y0001820698D01* +X0001173750Y0001820698D01* +X0001173750Y0001839478D01* +X0001186250Y0001839478D01* +X0001186250Y0001820698D01* +X0001200029Y0001820698D01* +X0001202539Y0001823208D01* +X0001202539Y0001833945D01* +X0001201010Y0001837635D01* +X0001198186Y0001840459D01* +X0001194496Y0001841988D01* +X0001188759Y0001841988D01* +X0001186250Y0001839478D01* +X0001173750Y0001839478D01* +X0001171240Y0001841988D01* +X0001165503Y0001841988D01* +X0001161813Y0001840459D01* +X0001158989Y0001837635D01* +X0001157460Y0001833945D01* +X0001143564Y0001833945D01* +X0001143157Y0001836106D01* +X0001140700Y0001839925D01* +X0001136950Y0001842487D01* +X0001132500Y0001843389D01* +X0001128346Y0001843389D01* +X0001128346Y0001846456D01* +X0001537007Y0001846456D01* +X0001537007Y0001097440D01* +X0001537007Y0001097440D01* +G37* +X0001537007Y0001097440D02* +X0000803346Y0001097440D01* +X0000803346Y0001099025D01* +X0000811965Y0001099017D01* +X0000834180Y0001108196D01* +X0000851192Y0001125178D01* +X0000860409Y0001147377D01* +X0000860430Y0001171414D01* +X0000851251Y0001193629D01* +X0000839901Y0001204999D01* +X0000980340Y0001204999D01* +X0000987477Y0001200361D01* +X0001011042Y0001200066D01* +X0001012522Y0001200361D01* +X0001019659Y0001204999D01* +X0001000000Y0001224659D01* +X0000980340Y0001204999D01* +X0000839901Y0001204999D01* +X0000834270Y0001210640D01* +X0000821062Y0001216125D01* +X0000821062Y0001248406D01* +X0000940618Y0001248406D01* +X0000940912Y0001246926D01* +X0000945550Y0001239788D01* +X0000965210Y0001259448D01* +X0001034789Y0001259448D01* +X0001054449Y0001239788D01* +X0001059087Y0001246926D01* +X0001059381Y0001270491D01* +X0001059087Y0001271971D01* +X0001054449Y0001279108D01* +X0001034789Y0001259448D01* +X0000965210Y0001259448D01* +X0000945550Y0001279108D01* +X0000940912Y0001271971D01* +X0000940618Y0001248406D01* +X0000821062Y0001248406D01* +X0000821062Y0001275724D01* +X0000859237Y0001313898D01* +X0000980340Y0001313898D01* +X0001000000Y0001294238D01* +X0001019659Y0001313898D01* +X0001012522Y0001318536D01* +X0000988957Y0001318830D01* +X0000987477Y0001318536D01* +X0000980340Y0001313898D01* +X0000859237Y0001313898D01* +X0000883724Y0001338385D01* +X0001021059Y0001338385D01* +X0001021059Y0001336948D01* +X0001021842Y0001332790D01* +X0001024299Y0001328971D01* +X0001028049Y0001326409D01* +X0001032500Y0001325508D01* +X0001057500Y0001325508D01* +X0001061658Y0001326290D01* +X0001065476Y0001328748D01* +X0001068038Y0001332497D01* +X0001068940Y0001336948D01* +X0001068940Y0001345688D01* +X0001082460Y0001345688D01* +X0001082460Y0001334951D01* +X0001083989Y0001331261D01* +X0001086813Y0001328437D01* +X0001090503Y0001326909D01* +X0001096240Y0001326909D01* +X0001098750Y0001329419D01* +X0001098750Y0001348198D01* +X0001111250Y0001348198D01* +X0001111250Y0001329419D01* +X0001113759Y0001326909D01* +X0001119496Y0001326909D01* +X0001123186Y0001328437D01* +X0001126010Y0001331261D01* +X0001127539Y0001334951D01* +X0001127539Y0001345688D01* +X0001125029Y0001348198D01* +X0001111250Y0001348198D01* +X0001098750Y0001348198D01* +X0001084970Y0001348198D01* +X0001082460Y0001345688D01* +X0001068940Y0001345688D01* +X0001068940Y0001352411D01* +X0001330905Y0001352411D01* +X0001330905Y0001323396D01* +X0001332433Y0001319706D01* +X0001335257Y0001316882D01* +X0001338947Y0001315354D01* +X0001367962Y0001315354D01* +X0001370472Y0001317864D01* +X0001370472Y0001354921D01* +X0001429527Y0001354921D01* +X0001429527Y0001317864D01* +X0001432037Y0001315354D01* +X0001461052Y0001315354D01* +X0001464741Y0001316882D01* +X0001467566Y0001319706D01* +X0001469094Y0001323396D01* +X0001469094Y0001352411D01* +X0001466584Y0001354921D01* +X0001429527Y0001354921D01* +X0001370472Y0001354921D01* +X0001333415Y0001354921D01* +X0001330905Y0001352411D01* +X0001068940Y0001352411D01* +X0001068940Y0001381948D01* +X0001068564Y0001383945D01* +X0001082460Y0001383945D01* +X0001082460Y0001373208D01* +X0001084970Y0001370698D01* +X0001098750Y0001370698D01* +X0001098750Y0001389478D01* +X0001111250Y0001389478D01* +X0001111250Y0001370698D01* +X0001125029Y0001370698D01* +X0001127539Y0001373208D01* +X0001127539Y0001383945D01* +X0001126010Y0001387635D01* +X0001123186Y0001390459D01* +X0001119496Y0001391988D01* +X0001113759Y0001391988D01* +X0001111250Y0001389478D01* +X0001098750Y0001389478D01* +X0001096240Y0001391988D01* +X0001090503Y0001391988D01* +X0001086813Y0001390459D01* +X0001083989Y0001387635D01* +X0001082460Y0001383945D01* +X0001068564Y0001383945D01* +X0001068157Y0001386106D01* +X0001066062Y0001389362D01* +X0001066062Y0001404605D01* +X0001068038Y0001407497D01* +X0001068940Y0001411948D01* +X0001068940Y0001456948D01* +X0001081059Y0001456948D01* +X0001081059Y0001411948D01* +X0001081842Y0001407790D01* +X0001084299Y0001403971D01* +X0001088049Y0001401409D01* +X0001092500Y0001400508D01* +X0001117500Y0001400508D01* +X0001121658Y0001401290D01* +X0001125476Y0001403748D01* +X0001128038Y0001407497D01* +X0001128940Y0001411948D01* +X0001128940Y0001413385D01* +X0001150000Y0001413385D01* +X0001158060Y0001414989D01* +X0001164893Y0001419555D01* +X0001181493Y0001436155D01* +X0001186059Y0001442988D01* +X0001186559Y0001445500D01* +X0001330905Y0001445500D01* +X0001330905Y0001416486D01* +X0001333415Y0001413976D01* +X0001370472Y0001413976D01* +X0001370472Y0001451033D01* +X0001429527Y0001451033D01* +X0001429527Y0001413976D01* +X0001466584Y0001413976D01* +X0001469094Y0001416486D01* +X0001469094Y0001445500D01* +X0001467566Y0001449190D01* +X0001464741Y0001452014D01* +X0001461052Y0001453543D01* +X0001432037Y0001453543D01* +X0001429527Y0001451033D01* +X0001370472Y0001451033D01* +X0001367962Y0001453543D01* +X0001338947Y0001453543D01* +X0001335257Y0001452014D01* +X0001332433Y0001449190D01* +X0001330905Y0001445500D01* +X0001186559Y0001445500D01* +X0001187662Y0001451048D01* +X0001187662Y0001473965D01* +X0001190458Y0001474490D01* +X0001194276Y0001476948D01* +X0001196838Y0001480697D01* +X0001197740Y0001485148D01* +X0001197740Y0001508748D01* +X0001196957Y0001512906D01* +X0001194500Y0001516725D01* +X0001190750Y0001519287D01* +X0001186300Y0001520189D01* +X0001146899Y0001520189D01* +X0001142741Y0001519406D01* +X0001138922Y0001516949D01* +X0001136361Y0001513199D01* +X0001135459Y0001508748D01* +X0001135459Y0001485148D01* +X0001136242Y0001480990D01* +X0001138699Y0001477171D01* +X0001142449Y0001474609D01* +X0001145537Y0001473984D01* +X0001145537Y0001459773D01* +X0001141275Y0001455511D01* +X0001128940Y0001455511D01* +X0001128940Y0001456948D01* +X0001128157Y0001461106D01* +X0001125700Y0001464925D01* +X0001121950Y0001467487D01* +X0001117500Y0001468389D01* +X0001092500Y0001468389D01* +X0001088341Y0001467606D01* +X0001084523Y0001465149D01* +X0001081961Y0001461399D01* +X0001081059Y0001456948D01* +X0001068940Y0001456948D01* +X0001068157Y0001461106D01* +X0001065700Y0001464925D01* +X0001062438Y0001467154D01* +X0001076386Y0001481102D01* +X0001099999Y0001481102D01* +X0001101301Y0001481365D01* +X0001102366Y0001482082D01* +X0001127366Y0001507082D01* +X0001128100Y0001508189D01* +X0001128346Y0001509448D01* +X0001128346Y0001563538D01* +X0001136860Y0001563538D01* +X0001136860Y0001558151D01* +X0001138389Y0001554461D01* +X0001141213Y0001551637D01* +X0001144903Y0001550109D01* +X0001154240Y0001550109D01* +X0001156750Y0001552619D01* +X0001156750Y0001566048D01* +X0001176450Y0001566048D01* +X0001176450Y0001552619D01* +X0001178959Y0001550109D01* +X0001188296Y0001550109D01* +X0001191986Y0001551637D01* +X0001194810Y0001554461D01* +X0001196339Y0001558151D01* +X0001196339Y0001563538D01* +X0001193829Y0001566048D01* +X0001176450Y0001566048D01* +X0001156750Y0001566048D01* +X0001139370Y0001566048D01* +X0001136860Y0001563538D01* +X0001128346Y0001563538D01* +X0001128346Y0001570531D01* +X0001329712Y0001570531D01* +X0001340388Y0001544692D01* +X0001360140Y0001524906D01* +X0001385960Y0001514185D01* +X0001413917Y0001514161D01* +X0001439755Y0001524837D01* +X0001459542Y0001544588D01* +X0001470263Y0001570408D01* +X0001470287Y0001598366D01* +X0001459611Y0001624204D01* +X0001439859Y0001643990D01* +X0001414040Y0001654712D01* +X0001386082Y0001654736D01* +X0001360244Y0001644060D01* +X0001340457Y0001624308D01* +X0001329736Y0001598488D01* +X0001329712Y0001570531D01* +X0001128346Y0001570531D01* +X0001128346Y0001585745D01* +X0001136860Y0001585745D01* +X0001136860Y0001580358D01* +X0001139370Y0001577848D01* +X0001156750Y0001577848D01* +X0001156750Y0001591278D01* +X0001176450Y0001591278D01* +X0001176450Y0001577848D01* +X0001193829Y0001577848D01* +X0001196339Y0001580358D01* +X0001196339Y0001585745D01* +X0001194810Y0001589435D01* +X0001191986Y0001592259D01* +X0001188296Y0001593788D01* +X0001178959Y0001593788D01* +X0001176450Y0001591278D01* +X0001156750Y0001591278D01* +X0001154240Y0001593788D01* +X0001144903Y0001593788D01* +X0001141213Y0001592259D01* +X0001138389Y0001589435D01* +X0001136860Y0001585745D01* +X0001128346Y0001585745D01* +X0001128346Y0001600508D01* +X0001132500Y0001600508D01* +X0001136658Y0001601290D01* +X0001140476Y0001603748D01* +X0001143038Y0001607497D01* +X0001143940Y0001611948D01* +X0001143940Y0001620688D01* +X0001157460Y0001620688D01* +X0001157460Y0001609951D01* +X0001158989Y0001606261D01* +X0001161813Y0001603437D01* +X0001165503Y0001601909D01* +X0001171240Y0001601909D01* +X0001173750Y0001604419D01* +X0001173750Y0001623198D01* +X0001186250Y0001623198D01* +X0001186250Y0001604419D01* +X0001188759Y0001601909D01* +X0001194496Y0001601909D01* +X0001198186Y0001603437D01* +X0001201010Y0001606261D01* +X0001202539Y0001609951D01* +X0001202539Y0001620688D01* +X0001200029Y0001623198D01* +X0001186250Y0001623198D01* +X0001173750Y0001623198D01* +X0001159970Y0001623198D01* +X0001157460Y0001620688D01* +X0001143940Y0001620688D01* +X0001143940Y0001656948D01* +X0001143564Y0001658945D01* +X0001157460Y0001658945D01* +X0001157460Y0001648208D01* +X0001159970Y0001645698D01* +X0001173750Y0001645698D01* +X0001173750Y0001664478D01* +X0001186250Y0001664478D01* +X0001186250Y0001645698D01* +X0001200029Y0001645698D01* +X0001202539Y0001648208D01* +X0001202539Y0001658945D01* +X0001201010Y0001662635D01* +X0001198186Y0001665459D01* +X0001194496Y0001666988D01* +X0001188759Y0001666988D01* +X0001186250Y0001664478D01* +X0001173750Y0001664478D01* +X0001171240Y0001666988D01* +X0001165503Y0001666988D01* +X0001161813Y0001665459D01* +X0001158989Y0001662635D01* +X0001157460Y0001658945D01* +X0001143564Y0001658945D01* +X0001143157Y0001661106D01* +X0001140700Y0001664925D01* +X0001136950Y0001667487D01* +X0001132500Y0001668389D01* +X0001128346Y0001668389D01* +X0001128346Y0001700508D01* +X0001132500Y0001700508D01* +X0001136658Y0001701290D01* +X0001140476Y0001703748D01* +X0001143038Y0001707497D01* +X0001143940Y0001711948D01* +X0001143940Y0001720688D01* +X0001157460Y0001720688D01* +X0001157460Y0001709951D01* +X0001158989Y0001706261D01* +X0001161813Y0001703437D01* +X0001165503Y0001701909D01* +X0001171240Y0001701909D01* +X0001173750Y0001704419D01* +X0001173750Y0001723198D01* +X0001186250Y0001723198D01* +X0001186250Y0001704419D01* +X0001188759Y0001701909D01* +X0001194496Y0001701909D01* +X0001198186Y0001703437D01* +X0001201010Y0001706261D01* +X0001202539Y0001709951D01* +X0001202539Y0001720688D01* +X0001200029Y0001723198D01* +X0001186250Y0001723198D01* +X0001173750Y0001723198D01* +X0001159970Y0001723198D01* +X0001157460Y0001720688D01* +X0001143940Y0001720688D01* +X0001143940Y0001724406D01* +X0001144006Y0001724505D01* +X0001145984Y0001734448D01* +X0001144006Y0001744392D01* +X0001143940Y0001744491D01* +X0001143940Y0001756948D01* +X0001143564Y0001758945D01* +X0001157460Y0001758945D01* +X0001157460Y0001748208D01* +X0001159970Y0001745698D01* +X0001173750Y0001745698D01* +X0001173750Y0001764478D01* +X0001186250Y0001764478D01* +X0001186250Y0001745698D01* +X0001200029Y0001745698D01* +X0001202539Y0001748208D01* +X0001202539Y0001758945D01* +X0001201010Y0001762635D01* +X0001198186Y0001765459D01* +X0001194496Y0001766988D01* +X0001188759Y0001766988D01* +X0001186250Y0001764478D01* +X0001173750Y0001764478D01* +X0001171240Y0001766988D01* +X0001165503Y0001766988D01* +X0001161813Y0001765459D01* +X0001158989Y0001762635D01* +X0001157460Y0001758945D01* +X0001143564Y0001758945D01* +X0001143157Y0001761106D01* +X0001140700Y0001764925D01* +X0001136950Y0001767487D01* +X0001132500Y0001768389D01* +X0001128346Y0001768389D01* +X0001128346Y0001775508D01* +X0001132500Y0001775508D01* +X0001136658Y0001776290D01* +X0001140476Y0001778748D01* +X0001143038Y0001782497D01* +X0001143940Y0001786948D01* +X0001143940Y0001795688D01* +X0001157460Y0001795688D01* +X0001157460Y0001784951D01* +X0001158989Y0001781261D01* +X0001161813Y0001778437D01* +X0001165503Y0001776909D01* +X0001171240Y0001776909D01* +X0001173750Y0001779419D01* +X0001173750Y0001798198D01* +X0001186250Y0001798198D01* +X0001186250Y0001779419D01* +X0001188759Y0001776909D01* +X0001194496Y0001776909D01* +X0001198186Y0001778437D01* +X0001201010Y0001781261D01* +X0001202539Y0001784951D01* +X0001202539Y0001795688D01* +X0001200029Y0001798198D01* +X0001186250Y0001798198D01* +X0001173750Y0001798198D01* +X0001159970Y0001798198D01* +X0001157460Y0001795688D01* +X0001143940Y0001795688D01* +X0001143940Y0001799406D01* +X0001144006Y0001799505D01* +X0001145984Y0001809448D01* +X0001144006Y0001819392D01* +X0001143940Y0001819491D01* +X0001143940Y0001831948D01* +X0001143564Y0001833945D01* +X0001157460Y0001833945D01* +X0001157460Y0001823208D01* +X0001159970Y0001820698D01* +X0001173750Y0001820698D01* +X0001173750Y0001839478D01* +X0001186250Y0001839478D01* +X0001186250Y0001820698D01* +X0001200029Y0001820698D01* +X0001202539Y0001823208D01* +X0001202539Y0001833945D01* +X0001201010Y0001837635D01* +X0001198186Y0001840459D01* +X0001194496Y0001841988D01* +X0001188759Y0001841988D01* +X0001186250Y0001839478D01* +X0001173750Y0001839478D01* +X0001171240Y0001841988D01* +X0001165503Y0001841988D01* +X0001161813Y0001840459D01* +X0001158989Y0001837635D01* +X0001157460Y0001833945D01* +X0001143564Y0001833945D01* +X0001143157Y0001836106D01* +X0001140700Y0001839925D01* +X0001136950Y0001842487D01* +X0001132500Y0001843389D01* +X0001128346Y0001843389D01* +X0001128346Y0001846456D01* +X0001537007Y0001846456D01* +X0001537007Y0001097440D01* +M02* \ No newline at end of file diff --git a/panel/combined.gto b/panel/combined.gto new file mode 100755 index 0000000..1f4cff6 --- /dev/null +++ b/panel/combined.gto @@ -0,0 +1,1319 @@ +%MOIN*% +%OFA0B0*% +%FSLAX46Y46*% +%IPPOS*% +%LPD*% +%ADD10C,0.0039370078740157488*% +%ADD11C,0.005905511811023622*% +%ADD12C,0.011811023622047244*% +%ADD13C,0.015000000000000001*% +%ADD14C,0.012000000000000002*% +%ADD15C,0.005*% +%ADD26C,0.0039370078740157488*% +%ADD27C,0.005905511811023622*% +%ADD28C,0.011811023622047244*% +%ADD29C,0.015000000000000001*% +%ADD30C,0.012000000000000002*% +%ADD31C,0.005*% +%LPD*% +G01G01* +D10* +D11* +X0001365944Y0000811839D02* +X0001363132Y0000813245D01* +X0001361726Y0000814651D01* +X0001360320Y0000817463D01* +X0001360320Y0000825899D01* +X0001361726Y0000828712D01* +X0001363132Y0000830118D01* +X0001365944Y0000831524D01* +X0001370163Y0000831524D01* +X0001372975Y0000830118D01* +X0001374381Y0000828712D01* +X0001375787Y0000825899D01* +X0001375787Y0000817463D01* +X0001374381Y0000814651D01* +X0001372975Y0000813245D01* +X0001370163Y0000811839D01* +X0001365944Y0000811839D01* +X0001401096Y0000831524D02* +X0001401096Y0000811839D01* +X0001388442Y0000831524D02* +X0001388442Y0000816057D01* +X0001389848Y0000813245D01* +X0001392660Y0000811839D01* +X0001396878Y0000811839D01* +X0001399690Y0000813245D01* +X0001401096Y0000814651D01* +X0001410939Y0000831524D02* +X0001422187Y0000831524D01* +X0001415157Y0000841366D02* +X0001415157Y0000816057D01* +X0001416563Y0000813245D01* +X0001419375Y0000811839D01* +X0001422187Y0000811839D01* +X0001432030Y0000831524D02* +X0001432030Y0000801996D01* +X0001432030Y0000830118D02* +X0001434842Y0000831524D01* +X0001440466Y0000831524D01* +X0001443278Y0000830118D01* +X0001444685Y0000828712D01* +X0001446091Y0000825899D01* +X0001446091Y0000817463D01* +X0001444685Y0000814651D01* +X0001443278Y0000813245D01* +X0001440466Y0000811839D01* +X0001434842Y0000811839D01* +X0001432030Y0000813245D01* +X0001471400Y0000831524D02* +X0001471400Y0000811839D01* +X0001458745Y0000831524D02* +X0001458745Y0000816057D01* +X0001460151Y0000813245D01* +X0001462963Y0000811839D01* +X0001467182Y0000811839D01* +X0001469994Y0000813245D01* +X0001471400Y0000814651D01* +X0001481242Y0000831524D02* +X0001492491Y0000831524D01* +X0001485461Y0000841366D02* +X0001485461Y0000816057D01* +X0001486867Y0000813245D01* +X0001489679Y0000811839D01* +X0001492491Y0000811839D01* +X0000076490Y0000811839D02* +X0000076490Y0000831524D01* +X0000076490Y0000841366D02* +X0000075084Y0000839960D01* +X0000076490Y0000838554D01* +X0000077896Y0000839960D01* +X0000076490Y0000841366D01* +X0000076490Y0000838554D01* +X0000090551Y0000831524D02* +X0000090551Y0000811839D01* +X0000090551Y0000828712D02* +X0000091957Y0000830118D01* +X0000094769Y0000831524D01* +X0000098987Y0000831524D01* +X0000101799Y0000830118D01* +X0000103205Y0000827305D01* +X0000103205Y0000811839D01* +X0000117266Y0000831524D02* +X0000117266Y0000801996D01* +X0000117266Y0000830118D02* +X0000120078Y0000831524D01* +X0000125703Y0000831524D01* +X0000128515Y0000830118D01* +X0000129921Y0000828712D01* +X0000131327Y0000825899D01* +X0000131327Y0000817463D01* +X0000129921Y0000814651D01* +X0000128515Y0000813245D01* +X0000125703Y0000811839D01* +X0000120078Y0000811839D01* +X0000117266Y0000813245D01* +X0000156636Y0000831524D02* +X0000156636Y0000811839D01* +X0000143981Y0000831524D02* +X0000143981Y0000816057D01* +X0000145388Y0000813245D01* +X0000148200Y0000811839D01* +X0000152418Y0000811839D01* +X0000155230Y0000813245D01* +X0000156636Y0000814651D01* +X0000166479Y0000831524D02* +X0000177727Y0000831524D01* +X0000170697Y0000841366D02* +X0000170697Y0000816057D01* +X0000172103Y0000813245D01* +X0000174915Y0000811839D01* +X0000177727Y0000811839D01* +X0001215016Y0000182386D02* +X0001196269Y0000182386D01* +X0001196269Y0000221756D01* +X0001228140Y0000182386D02* +X0001228140Y0000208633D01* +X0001228140Y0000221756D02* +X0001226265Y0000219881D01* +X0001228140Y0000218007D01* +X0001230014Y0000219881D01* +X0001228140Y0000221756D01* +X0001228140Y0000218007D01* +X0001246887Y0000182386D02* +X0001246887Y0000221756D01* +X0001261886Y0000221756D01* +X0001265635Y0000219881D01* +X0001267510Y0000218007D01* +X0001269385Y0000214257D01* +X0001269385Y0000208633D01* +X0001267510Y0000204883D01* +X0001265635Y0000203009D01* +X0001261886Y0000201134D01* +X0001246887Y0000201134D01* +X0001291882Y0000182386D02* +X0001288132Y0000184261D01* +X0001286257Y0000186136D01* +X0001284383Y0000189885D01* +X0001284383Y0000201134D01* +X0001286257Y0000204883D01* +X0001288132Y0000206758D01* +X0001291882Y0000208633D01* +X0001297506Y0000208633D01* +X0001301256Y0000206758D01* +X0001303130Y0000204883D01* +X0001305005Y0000201134D01* +X0001305005Y0000189885D01* +X0001303130Y0000186136D01* +X0001301256Y0000184261D01* +X0001297506Y0000182386D01* +X0001291882Y0000182386D01* +X0001372497Y0000219881D02* +X0001368747Y0000221756D01* +X0001363123Y0000221756D01* +X0001357499Y0000219881D01* +X0001353749Y0000216132D01* +X0001351874Y0000212382D01* +X0001349999Y0000204883D01* +X0001349999Y0000199259D01* +X0001351874Y0000191760D01* +X0001353749Y0000188010D01* +X0001357499Y0000184261D01* +X0001363123Y0000182386D01* +X0001366872Y0000182386D01* +X0001372497Y0000184261D01* +X0001374371Y0000186136D01* +X0001374371Y0000199259D01* +X0001366872Y0000199259D01* +X0001408117Y0000208633D02* +X0001408117Y0000182386D01* +X0001391244Y0000208633D02* +X0001391244Y0000188010D01* +X0001393119Y0000184261D01* +X0001396869Y0000182386D01* +X0001402493Y0000182386D01* +X0001406242Y0000184261D01* +X0001408117Y0000186136D01* +X0001443738Y0000182386D02* +X0001443738Y0000203009D01* +X0001441863Y0000206758D01* +X0001438114Y0000208633D01* +X0001430614Y0000208633D01* +X0001426865Y0000206758D01* +X0001443738Y0000184261D02* +X0001439988Y0000182386D01* +X0001430614Y0000182386D01* +X0001426865Y0000184261D01* +X0001424990Y0000188010D01* +X0001424990Y0000191760D01* +X0001426865Y0000195509D01* +X0001430614Y0000197384D01* +X0001439988Y0000197384D01* +X0001443738Y0000199259D01* +X0001462485Y0000182386D02* +X0001462485Y0000208633D01* +X0001462485Y0000201134D02* +X0001464360Y0000204883D01* +X0001466235Y0000206758D01* +X0001469984Y0000208633D01* +X0001473734Y0000208633D01* +X0001503730Y0000182386D02* +X0001503730Y0000221756D01* +X0001503730Y0000184261D02* +X0001499981Y0000182386D01* +X0001492482Y0000182386D01* +X0001488732Y0000184261D01* +X0001486857Y0000186136D01* +X0001484983Y0000189885D01* +X0001484983Y0000201134D01* +X0001486857Y0000204883D01* +X0001488732Y0000206758D01* +X0001492482Y0000208633D01* +X0001499981Y0000208633D01* +X0001503730Y0000206758D01* +D12* +X0001377502Y0000770978D02* +X0001422497Y0000770978D01* +X0001400000Y0000748481D02* +X0001400000Y0000793475D01* +X0000127502Y0000770978D02* +X0000172497Y0000770978D01* +X0000150000Y0000748481D02* +X0000150000Y0000793475D01* +D13* +X0000404299Y0000730096D02* +X0000404299Y0000470296D01* +X0000404299Y0000470296D02* +X0000695700Y0000470296D01* +X0000695700Y0000470296D02* +X0000695700Y0000730096D01* +X0000695700Y0000730096D02* +X0000404299Y0000730096D01* +D14* +X0001499999Y0000700196D02* +X0001499999Y0000300196D01* +X0001550000Y0000700196D02* +X0001250000Y0000700196D01* +X0001250000Y0000700196D02* +X0001250000Y0000300196D01* +X0001250000Y0000300196D02* +X0001550000Y0000300196D01* +X0001550000Y0000300196D02* +X0001550000Y0000700196D01* +D15* +X0001105000Y0000850196D02* +X0001195000Y0000850196D01* +X0001195000Y0000850196D02* +X0001195000Y0000800196D01* +X0001195000Y0000800196D02* +X0001105000Y0000800196D01* +X0001105000Y0000800196D02* +X0001105000Y0000850196D01* +X0001105000Y0000775196D02* +X0001195000Y0000775196D01* +X0001195000Y0000775196D02* +X0001195000Y0000725196D01* +X0001195000Y0000725196D02* +X0001105000Y0000725196D01* +X0001105000Y0000725196D02* +X0001105000Y0000775196D01* +D14* +X0000050000Y0000300196D02* +X0000050000Y0000700196D01* +X0000000000Y0000300196D02* +X0000300000Y0000300196D01* +X0000300000Y0000300196D02* +X0000300000Y0000700196D01* +X0000300000Y0000700196D02* +X0000000000Y0000700196D01* +X0000000000Y0000700196D02* +X0000000000Y0000300196D01* +D15* +X0000979999Y0000850196D02* +X0001069999Y0000850196D01* +X0001069999Y0000850196D02* +X0001069999Y0000800196D01* +X0001069999Y0000800196D02* +X0000979999Y0000800196D01* +X0000979999Y0000800196D02* +X0000979999Y0000850196D01* +X0000979999Y0000775196D02* +X0001069999Y0000775196D01* +X0001069999Y0000775196D02* +X0001069999Y0000725196D01* +X0001069999Y0000725196D02* +X0000979999Y0000725196D01* +X0000979999Y0000725196D02* +X0000979999Y0000775196D01* +X0001030000Y0000475196D02* +X0001120000Y0000475196D01* +X0001120000Y0000475196D02* +X0001120000Y0000425196D01* +X0001120000Y0000425196D02* +X0001030000Y0000425196D01* +X0001030000Y0000425196D02* +X0001030000Y0000475196D01* +X0001105000Y0000675196D02* +X0001195000Y0000675196D01* +X0001195000Y0000675196D02* +X0001195000Y0000625196D01* +X0001195000Y0000625196D02* +X0001105000Y0000625196D01* +X0001105000Y0000625196D02* +X0001105000Y0000675196D01* +D13* +X0001099999Y0000200196D02* +X0001124999Y0000200196D01* +X0001124999Y0000200196D02* +X0001124999Y0000250196D01* +X0001124999Y0000250196D02* +X0001099999Y0000250196D01* +X0001099999Y0000275196D02* +X0000399999Y0000275196D01* +X0000399999Y0000275196D02* +X0000399999Y0000175196D01* +X0000399999Y0000175196D02* +X0001099999Y0000175196D01* +X0001099999Y0000175196D02* +X0001099999Y0000275196D01* +D15* +X0001152500Y0000587696D02* +X0001178499Y0000587696D01* +X0001152500Y0000512696D02* +X0001178499Y0000512696D01* +X0001097499Y0000550196D02* +X0001071500Y0000550196D01* +X0001097499Y0000491196D02* +X0001097499Y0000609196D01* +X0001097499Y0000609196D02* +X0001152500Y0000609196D01* +X0001152500Y0000609196D02* +X0001152500Y0000491196D01* +X0001152500Y0000491196D02* +X0001097499Y0000491196D01* +X0001030000Y0000400196D02* +X0001120000Y0000400196D01* +X0001120000Y0000400196D02* +X0001120000Y0000350196D01* +X0001120000Y0000350196D02* +X0001030000Y0000350196D01* +X0001030000Y0000350196D02* +X0001030000Y0000400196D01* +X0000354999Y0000400196D02* +X0000444999Y0000400196D01* +X0000444999Y0000400196D02* +X0000444999Y0000350196D01* +X0000444999Y0000350196D02* +X0000354999Y0000350196D01* +X0000354999Y0000350196D02* +X0000354999Y0000400196D01* +D11* +X0000527502Y0000816366D02* +X0000527502Y0000792463D01* +X0000528908Y0000789651D01* +X0000530314Y0000788245D01* +X0000533127Y0000786839D01* +X0000538751Y0000786839D01* +X0000541563Y0000788245D01* +X0000542969Y0000789651D01* +X0000544375Y0000792463D01* +X0000544375Y0000816366D01* +X0000573903Y0000786839D02* +X0000557030Y0000786839D01* +X0000565466Y0000786839D02* +X0000565466Y0000816366D01* +X0000562654Y0000812148D01* +X0000559842Y0000809336D01* +X0000557030Y0000807930D01* +X0001378205Y0000711839D02* +X0001378205Y0000741366D01* +X0001389454Y0000741366D01* +X0001392266Y0000739960D01* +X0001393672Y0000738554D01* +X0001395078Y0000735742D01* +X0001395078Y0000731524D01* +X0001393672Y0000728712D01* +X0001392266Y0000727305D01* +X0001389454Y0000725899D01* +X0001378205Y0000725899D01* +X0001406327Y0000738554D02* +X0001407733Y0000739960D01* +X0001410545Y0000741366D01* +X0001417575Y0000741366D01* +X0001420388Y0000739960D01* +X0001421794Y0000738554D01* +X0001423200Y0000735742D01* +X0001423200Y0000732930D01* +X0001421794Y0000728712D01* +X0001404921Y0000711839D01* +X0001423200Y0000711839D01* +X0001203205Y0000811839D02* +X0001203205Y0000841366D01* +X0001210236Y0000841366D01* +X0001214454Y0000839960D01* +X0001217266Y0000837148D01* +X0001218672Y0000834336D01* +X0001220078Y0000828712D01* +X0001220078Y0000824493D01* +X0001218672Y0000818869D01* +X0001217266Y0000816057D01* +X0001214454Y0000813245D01* +X0001210236Y0000811839D01* +X0001203205Y0000811839D01* +X0001248200Y0000811839D02* +X0001231327Y0000811839D01* +X0001239763Y0000811839D02* +X0001239763Y0000841366D01* +X0001236951Y0000837148D01* +X0001234139Y0000834336D01* +X0001231327Y0000832930D01* +X0001203205Y0000736839D02* +X0001203205Y0000766366D01* +X0001210236Y0000766366D01* +X0001214454Y0000764960D01* +X0001217266Y0000762148D01* +X0001218672Y0000759336D01* +X0001220078Y0000753712D01* +X0001220078Y0000749493D01* +X0001218672Y0000743869D01* +X0001217266Y0000741057D01* +X0001214454Y0000738245D01* +X0001210236Y0000736839D01* +X0001203205Y0000736839D01* +X0001231327Y0000763554D02* +X0001232733Y0000764960D01* +X0001235545Y0000766366D01* +X0001242575Y0000766366D01* +X0001245388Y0000764960D01* +X0001246794Y0000763554D01* +X0001248200Y0000760742D01* +X0001248200Y0000757930D01* +X0001246794Y0000753712D01* +X0001229921Y0000736839D01* +X0001248200Y0000736839D01* +X0000128205Y0000711839D02* +X0000128205Y0000741366D01* +X0000139454Y0000741366D01* +X0000142266Y0000739960D01* +X0000143672Y0000738554D01* +X0000145078Y0000735742D01* +X0000145078Y0000731524D01* +X0000143672Y0000728712D01* +X0000142266Y0000727305D01* +X0000139454Y0000725899D01* +X0000128205Y0000725899D01* +X0000173200Y0000711839D02* +X0000156327Y0000711839D01* +X0000164763Y0000711839D02* +X0000164763Y0000741366D01* +X0000161951Y0000737148D01* +X0000159139Y0000734336D01* +X0000156327Y0000732930D01* +X0000945078Y0000811839D02* +X0000935236Y0000825899D01* +X0000928205Y0000811839D02* +X0000928205Y0000841366D01* +X0000939454Y0000841366D01* +X0000942266Y0000839960D01* +X0000943672Y0000838554D01* +X0000945078Y0000835742D01* +X0000945078Y0000831524D01* +X0000943672Y0000828712D01* +X0000942266Y0000827305D01* +X0000939454Y0000825899D01* +X0000928205Y0000825899D01* +X0000973200Y0000811839D02* +X0000956327Y0000811839D01* +X0000964763Y0000811839D02* +X0000964763Y0000841366D01* +X0000961951Y0000837148D01* +X0000959139Y0000834336D01* +X0000956327Y0000832930D01* +X0000945078Y0000736839D02* +X0000935236Y0000750899D01* +X0000928205Y0000736839D02* +X0000928205Y0000766366D01* +X0000939454Y0000766366D01* +X0000942266Y0000764960D01* +X0000943672Y0000763554D01* +X0000945078Y0000760742D01* +X0000945078Y0000756524D01* +X0000943672Y0000753712D01* +X0000942266Y0000752305D01* +X0000939454Y0000750899D01* +X0000928205Y0000750899D01* +X0000956327Y0000763554D02* +X0000957733Y0000764960D01* +X0000960545Y0000766366D01* +X0000967575Y0000766366D01* +X0000970388Y0000764960D01* +X0000971794Y0000763554D01* +X0000973200Y0000760742D01* +X0000973200Y0000757930D01* +X0000971794Y0000753712D01* +X0000954921Y0000736839D01* +X0000973200Y0000736839D01* +X0001170078Y0000436839D02* +X0001160236Y0000450899D01* +X0001153205Y0000436839D02* +X0001153205Y0000466366D01* +X0001164454Y0000466366D01* +X0001167266Y0000464960D01* +X0001168672Y0000463554D01* +X0001170078Y0000460742D01* +X0001170078Y0000456524D01* +X0001168672Y0000453712D01* +X0001167266Y0000452305D01* +X0001164454Y0000450899D01* +X0001153205Y0000450899D01* +X0001195388Y0000456524D02* +X0001195388Y0000436839D01* +X0001188357Y0000467772D02* +X0001181327Y0000446681D01* +X0001199606Y0000446681D01* +X0001070078Y0000636839D02* +X0001060236Y0000650899D01* +X0001053205Y0000636839D02* +X0001053205Y0000666366D01* +X0001064454Y0000666366D01* +X0001067266Y0000664960D01* +X0001068672Y0000663554D01* +X0001070078Y0000660742D01* +X0001070078Y0000656524D01* +X0001068672Y0000653712D01* +X0001067266Y0000652305D01* +X0001064454Y0000650899D01* +X0001053205Y0000650899D01* +X0001079921Y0000666366D02* +X0001098200Y0000666366D01* +X0001088357Y0000655118D01* +X0001092575Y0000655118D01* +X0001095388Y0000653712D01* +X0001096794Y0000652305D01* +X0001098200Y0000649493D01* +X0001098200Y0000642463D01* +X0001096794Y0000639651D01* +X0001095388Y0000638245D01* +X0001092575Y0000636839D01* +X0001084139Y0000636839D01* +X0001081327Y0000638245D01* +X0001079921Y0000639651D01* +X0000332424Y0000211839D02* +X0000322581Y0000225899D01* +X0000315551Y0000211839D02* +X0000315551Y0000241366D01* +X0000326799Y0000241366D01* +X0000329611Y0000239960D01* +X0000331018Y0000238554D01* +X0000332424Y0000235742D01* +X0000332424Y0000231524D01* +X0000331018Y0000228712D01* +X0000329611Y0000227305D01* +X0000326799Y0000225899D01* +X0000315551Y0000225899D01* +X0000340860Y0000241366D02* +X0000350703Y0000211839D01* +X0000360545Y0000241366D01* +X0000385854Y0000211839D02* +X0000368981Y0000211839D01* +X0000377418Y0000211839D02* +X0000377418Y0000241366D01* +X0000374606Y0000237148D01* +X0000371794Y0000234336D01* +X0000368981Y0000232930D01* +X0001022187Y0000534026D02* +X0001019375Y0000535433D01* +X0001016563Y0000538245D01* +X0001012345Y0000542463D01* +X0001009533Y0000543869D01* +X0001006721Y0000543869D01* +X0001008127Y0000536839D02* +X0001005314Y0000538245D01* +X0001002502Y0000541057D01* +X0001001096Y0000546681D01* +X0001001096Y0000556524D01* +X0001002502Y0000562148D01* +X0001005314Y0000564960D01* +X0001008127Y0000566366D01* +X0001013751Y0000566366D01* +X0001016563Y0000564960D01* +X0001019375Y0000562148D01* +X0001020781Y0000556524D01* +X0001020781Y0000546681D01* +X0001019375Y0000541057D01* +X0001016563Y0000538245D01* +X0001013751Y0000536839D01* +X0001008127Y0000536839D01* +X0001048903Y0000536839D02* +X0001032030Y0000536839D01* +X0001040466Y0000536839D02* +X0001040466Y0000566366D01* +X0001037654Y0000562148D01* +X0001034842Y0000559336D01* +X0001032030Y0000557930D01* +X0001170078Y0000364651D02* +X0001168672Y0000363245D01* +X0001164454Y0000361839D01* +X0001161642Y0000361839D01* +X0001157424Y0000363245D01* +X0001154611Y0000366057D01* +X0001153205Y0000368869D01* +X0001151799Y0000374493D01* +X0001151799Y0000378712D01* +X0001153205Y0000384336D01* +X0001154611Y0000387148D01* +X0001157424Y0000389960D01* +X0001161642Y0000391366D01* +X0001164454Y0000391366D01* +X0001168672Y0000389960D01* +X0001170078Y0000388554D01* +X0001198200Y0000361839D02* +X0001181327Y0000361839D01* +X0001189763Y0000361839D02* +X0001189763Y0000391366D01* +X0001186951Y0000387148D01* +X0001184139Y0000384336D01* +X0001181327Y0000382930D01* +X0000495078Y0000361839D02* +X0000485236Y0000375899D01* +X0000478205Y0000361839D02* +X0000478205Y0000391366D01* +X0000489454Y0000391366D01* +X0000492266Y0000389960D01* +X0000493672Y0000388554D01* +X0000495078Y0000385742D01* +X0000495078Y0000381524D01* +X0000493672Y0000378712D01* +X0000492266Y0000377305D01* +X0000489454Y0000375899D01* +X0000478205Y0000375899D01* +X0000521794Y0000391366D02* +X0000507733Y0000391366D01* +X0000506327Y0000377305D01* +X0000507733Y0000378712D01* +X0000510545Y0000380118D01* +X0000517575Y0000380118D01* +X0000520388Y0000378712D01* +X0000521794Y0000377305D01* +X0000523200Y0000374493D01* +X0000523200Y0000367463D01* +X0000521794Y0000364651D01* +X0000520388Y0000363245D01* +X0000517575Y0000361839D01* +X0000510545Y0000361839D01* +X0000507733Y0000363245D01* +X0000506327Y0000364651D01* +G04 next file* +G04 #@! TF.FileFunction,Legend,Top* +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* +G01G01* +G04 APERTURE LIST* +G04 APERTURE END LIST* +D26* +D27* +X0001365944Y0001796091D02* +X0001363132Y0001797497D01* +X0001361726Y0001798903D01* +X0001360320Y0001801715D01* +X0001360320Y0001810151D01* +X0001361726Y0001812963D01* +X0001363132Y0001814370D01* +X0001365944Y0001815776D01* +X0001370163Y0001815776D01* +X0001372975Y0001814370D01* +X0001374381Y0001812963D01* +X0001375787Y0001810151D01* +X0001375787Y0001801715D01* +X0001374381Y0001798903D01* +X0001372975Y0001797497D01* +X0001370163Y0001796091D01* +X0001365944Y0001796091D01* +X0001401096Y0001815776D02* +X0001401096Y0001796091D01* +X0001388442Y0001815776D02* +X0001388442Y0001800309D01* +X0001389848Y0001797497D01* +X0001392660Y0001796091D01* +X0001396878Y0001796091D01* +X0001399690Y0001797497D01* +X0001401096Y0001798903D01* +X0001410939Y0001815776D02* +X0001422187Y0001815776D01* +X0001415157Y0001825618D02* +X0001415157Y0001800309D01* +X0001416563Y0001797497D01* +X0001419375Y0001796091D01* +X0001422187Y0001796091D01* +X0001432030Y0001815776D02* +X0001432030Y0001786248D01* +X0001432030Y0001814370D02* +X0001434842Y0001815776D01* +X0001440466Y0001815776D01* +X0001443278Y0001814370D01* +X0001444685Y0001812963D01* +X0001446091Y0001810151D01* +X0001446091Y0001801715D01* +X0001444685Y0001798903D01* +X0001443278Y0001797497D01* +X0001440466Y0001796091D01* +X0001434842Y0001796091D01* +X0001432030Y0001797497D01* +X0001471400Y0001815776D02* +X0001471400Y0001796091D01* +X0001458745Y0001815776D02* +X0001458745Y0001800309D01* +X0001460151Y0001797497D01* +X0001462963Y0001796091D01* +X0001467182Y0001796091D01* +X0001469994Y0001797497D01* +X0001471400Y0001798903D01* +X0001481242Y0001815776D02* +X0001492491Y0001815776D01* +X0001485461Y0001825618D02* +X0001485461Y0001800309D01* +X0001486867Y0001797497D01* +X0001489679Y0001796091D01* +X0001492491Y0001796091D01* +X0000076490Y0001796091D02* +X0000076490Y0001815776D01* +X0000076490Y0001825618D02* +X0000075084Y0001824212D01* +X0000076490Y0001822806D01* +X0000077896Y0001824212D01* +X0000076490Y0001825618D01* +X0000076490Y0001822806D01* +X0000090551Y0001815776D02* +X0000090551Y0001796091D01* +X0000090551Y0001812963D02* +X0000091957Y0001814370D01* +X0000094769Y0001815776D01* +X0000098987Y0001815776D01* +X0000101799Y0001814370D01* +X0000103205Y0001811557D01* +X0000103205Y0001796091D01* +X0000117266Y0001815776D02* +X0000117266Y0001786248D01* +X0000117266Y0001814370D02* +X0000120078Y0001815776D01* +X0000125703Y0001815776D01* +X0000128515Y0001814370D01* +X0000129921Y0001812963D01* +X0000131327Y0001810151D01* +X0000131327Y0001801715D01* +X0000129921Y0001798903D01* +X0000128515Y0001797497D01* +X0000125703Y0001796091D01* +X0000120078Y0001796091D01* +X0000117266Y0001797497D01* +X0000156636Y0001815776D02* +X0000156636Y0001796091D01* +X0000143981Y0001815776D02* +X0000143981Y0001800309D01* +X0000145388Y0001797497D01* +X0000148200Y0001796091D01* +X0000152418Y0001796091D01* +X0000155230Y0001797497D01* +X0000156636Y0001798903D01* +X0000166479Y0001815776D02* +X0000177727Y0001815776D01* +X0000170697Y0001825618D02* +X0000170697Y0001800309D01* +X0000172103Y0001797497D01* +X0000174915Y0001796091D01* +X0000177727Y0001796091D01* +X0001215016Y0001166638D02* +X0001196269Y0001166638D01* +X0001196269Y0001206008D01* +X0001228140Y0001166638D02* +X0001228140Y0001192885D01* +X0001228140Y0001206008D02* +X0001226265Y0001204133D01* +X0001228140Y0001202259D01* +X0001230014Y0001204133D01* +X0001228140Y0001206008D01* +X0001228140Y0001202259D01* +X0001246887Y0001166638D02* +X0001246887Y0001206008D01* +X0001261886Y0001206008D01* +X0001265635Y0001204133D01* +X0001267510Y0001202259D01* +X0001269385Y0001198509D01* +X0001269385Y0001192885D01* +X0001267510Y0001189135D01* +X0001265635Y0001187260D01* +X0001261886Y0001185386D01* +X0001246887Y0001185386D01* +X0001291882Y0001166638D02* +X0001288132Y0001168513D01* +X0001286257Y0001170388D01* +X0001284383Y0001174137D01* +X0001284383Y0001185386D01* +X0001286257Y0001189135D01* +X0001288132Y0001191010D01* +X0001291882Y0001192885D01* +X0001297506Y0001192885D01* +X0001301256Y0001191010D01* +X0001303130Y0001189135D01* +X0001305005Y0001185386D01* +X0001305005Y0001174137D01* +X0001303130Y0001170388D01* +X0001301256Y0001168513D01* +X0001297506Y0001166638D01* +X0001291882Y0001166638D01* +X0001372497Y0001204133D02* +X0001368747Y0001206008D01* +X0001363123Y0001206008D01* +X0001357499Y0001204133D01* +X0001353749Y0001200384D01* +X0001351874Y0001196634D01* +X0001349999Y0001189135D01* +X0001349999Y0001183511D01* +X0001351874Y0001176012D01* +X0001353749Y0001172262D01* +X0001357499Y0001168513D01* +X0001363123Y0001166638D01* +X0001366872Y0001166638D01* +X0001372497Y0001168513D01* +X0001374371Y0001170388D01* +X0001374371Y0001183511D01* +X0001366872Y0001183511D01* +X0001408117Y0001192885D02* +X0001408117Y0001166638D01* +X0001391244Y0001192885D02* +X0001391244Y0001172262D01* +X0001393119Y0001168513D01* +X0001396869Y0001166638D01* +X0001402493Y0001166638D01* +X0001406242Y0001168513D01* +X0001408117Y0001170388D01* +X0001443738Y0001166638D02* +X0001443738Y0001187260D01* +X0001441863Y0001191010D01* +X0001438114Y0001192885D01* +X0001430614Y0001192885D01* +X0001426865Y0001191010D01* +X0001443738Y0001168513D02* +X0001439988Y0001166638D01* +X0001430614Y0001166638D01* +X0001426865Y0001168513D01* +X0001424990Y0001172262D01* +X0001424990Y0001176012D01* +X0001426865Y0001179761D01* +X0001430614Y0001181636D01* +X0001439988Y0001181636D01* +X0001443738Y0001183511D01* +X0001462485Y0001166638D02* +X0001462485Y0001192885D01* +X0001462485Y0001185386D02* +X0001464360Y0001189135D01* +X0001466235Y0001191010D01* +X0001469984Y0001192885D01* +X0001473734Y0001192885D01* +X0001503730Y0001166638D02* +X0001503730Y0001206008D01* +X0001503730Y0001168513D02* +X0001499981Y0001166638D01* +X0001492482Y0001166638D01* +X0001488732Y0001168513D01* +X0001486857Y0001170388D01* +X0001484983Y0001174137D01* +X0001484983Y0001185386D01* +X0001486857Y0001189135D01* +X0001488732Y0001191010D01* +X0001492482Y0001192885D01* +X0001499981Y0001192885D01* +X0001503730Y0001191010D01* +D28* +X0001377502Y0001755230D02* +X0001422497Y0001755230D01* +X0001400000Y0001732733D02* +X0001400000Y0001777727D01* +X0000127502Y0001755230D02* +X0000172497Y0001755230D01* +X0000150000Y0001732733D02* +X0000150000Y0001777727D01* +D29* +X0000404299Y0001714348D02* +X0000404299Y0001454548D01* +X0000404299Y0001454548D02* +X0000695700Y0001454548D01* +X0000695700Y0001454548D02* +X0000695700Y0001714348D01* +X0000695700Y0001714348D02* +X0000404299Y0001714348D01* +D30* +X0001499999Y0001684448D02* +X0001499999Y0001284448D01* +X0001550000Y0001684448D02* +X0001250000Y0001684448D01* +X0001250000Y0001684448D02* +X0001250000Y0001284448D01* +X0001250000Y0001284448D02* +X0001550000Y0001284448D01* +X0001550000Y0001284448D02* +X0001550000Y0001684448D01* +D31* +X0001105000Y0001834448D02* +X0001195000Y0001834448D01* +X0001195000Y0001834448D02* +X0001195000Y0001784448D01* +X0001195000Y0001784448D02* +X0001105000Y0001784448D01* +X0001105000Y0001784448D02* +X0001105000Y0001834448D01* +X0001105000Y0001759448D02* +X0001195000Y0001759448D01* +X0001195000Y0001759448D02* +X0001195000Y0001709448D01* +X0001195000Y0001709448D02* +X0001105000Y0001709448D01* +X0001105000Y0001709448D02* +X0001105000Y0001759448D01* +D30* +X0000050000Y0001284448D02* +X0000050000Y0001684448D01* +X0000000000Y0001284448D02* +X0000300000Y0001284448D01* +X0000300000Y0001284448D02* +X0000300000Y0001684448D01* +X0000300000Y0001684448D02* +X0000000000Y0001684448D01* +X0000000000Y0001684448D02* +X0000000000Y0001284448D01* +D31* +X0000979999Y0001834448D02* +X0001069999Y0001834448D01* +X0001069999Y0001834448D02* +X0001069999Y0001784448D01* +X0001069999Y0001784448D02* +X0000979999Y0001784448D01* +X0000979999Y0001784448D02* +X0000979999Y0001834448D01* +X0000979999Y0001759448D02* +X0001069999Y0001759448D01* +X0001069999Y0001759448D02* +X0001069999Y0001709448D01* +X0001069999Y0001709448D02* +X0000979999Y0001709448D01* +X0000979999Y0001709448D02* +X0000979999Y0001759448D01* +X0001030000Y0001459448D02* +X0001120000Y0001459448D01* +X0001120000Y0001459448D02* +X0001120000Y0001409448D01* +X0001120000Y0001409448D02* +X0001030000Y0001409448D01* +X0001030000Y0001409448D02* +X0001030000Y0001459448D01* +X0001105000Y0001659448D02* +X0001195000Y0001659448D01* +X0001195000Y0001659448D02* +X0001195000Y0001609448D01* +X0001195000Y0001609448D02* +X0001105000Y0001609448D01* +X0001105000Y0001609448D02* +X0001105000Y0001659448D01* +D29* +X0001099999Y0001184448D02* +X0001124999Y0001184448D01* +X0001124999Y0001184448D02* +X0001124999Y0001234448D01* +X0001124999Y0001234448D02* +X0001099999Y0001234448D01* +X0001099999Y0001259448D02* +X0000399999Y0001259448D01* +X0000399999Y0001259448D02* +X0000399999Y0001159448D01* +X0000399999Y0001159448D02* +X0001099999Y0001159448D01* +X0001099999Y0001159448D02* +X0001099999Y0001259448D01* +D31* +X0001152500Y0001571948D02* +X0001178499Y0001571948D01* +X0001152500Y0001496948D02* +X0001178499Y0001496948D01* +X0001097499Y0001534448D02* +X0001071500Y0001534448D01* +X0001097499Y0001475448D02* +X0001097499Y0001593448D01* +X0001097499Y0001593448D02* +X0001152500Y0001593448D01* +X0001152500Y0001593448D02* +X0001152500Y0001475448D01* +X0001152500Y0001475448D02* +X0001097499Y0001475448D01* +X0001030000Y0001384448D02* +X0001120000Y0001384448D01* +X0001120000Y0001384448D02* +X0001120000Y0001334448D01* +X0001120000Y0001334448D02* +X0001030000Y0001334448D01* +X0001030000Y0001334448D02* +X0001030000Y0001384448D01* +X0000354999Y0001384448D02* +X0000444999Y0001384448D01* +X0000444999Y0001384448D02* +X0000444999Y0001334448D01* +X0000444999Y0001334448D02* +X0000354999Y0001334448D01* +X0000354999Y0001334448D02* +X0000354999Y0001384448D01* +D27* +X0000527502Y0001800618D02* +X0000527502Y0001776715D01* +X0000528908Y0001773903D01* +X0000530314Y0001772497D01* +X0000533127Y0001771091D01* +X0000538751Y0001771091D01* +X0000541563Y0001772497D01* +X0000542969Y0001773903D01* +X0000544375Y0001776715D01* +X0000544375Y0001800618D01* +X0000573903Y0001771091D02* +X0000557030Y0001771091D01* +X0000565466Y0001771091D02* +X0000565466Y0001800618D01* +X0000562654Y0001796400D01* +X0000559842Y0001793588D01* +X0000557030Y0001792182D01* +X0001378205Y0001696091D02* +X0001378205Y0001725618D01* +X0001389454Y0001725618D01* +X0001392266Y0001724212D01* +X0001393672Y0001722806D01* +X0001395078Y0001719994D01* +X0001395078Y0001715776D01* +X0001393672Y0001712964D01* +X0001392266Y0001711557D01* +X0001389454Y0001710151D01* +X0001378205Y0001710151D01* +X0001406327Y0001722806D02* +X0001407733Y0001724212D01* +X0001410545Y0001725618D01* +X0001417575Y0001725618D01* +X0001420388Y0001724212D01* +X0001421794Y0001722806D01* +X0001423200Y0001719994D01* +X0001423200Y0001717182D01* +X0001421794Y0001712964D01* +X0001404921Y0001696091D01* +X0001423200Y0001696091D01* +X0001203205Y0001796091D02* +X0001203205Y0001825618D01* +X0001210236Y0001825618D01* +X0001214454Y0001824212D01* +X0001217266Y0001821400D01* +X0001218672Y0001818588D01* +X0001220078Y0001812963D01* +X0001220078Y0001808745D01* +X0001218672Y0001803121D01* +X0001217266Y0001800309D01* +X0001214454Y0001797497D01* +X0001210236Y0001796091D01* +X0001203205Y0001796091D01* +X0001248200Y0001796091D02* +X0001231327Y0001796091D01* +X0001239763Y0001796091D02* +X0001239763Y0001825618D01* +X0001236951Y0001821400D01* +X0001234139Y0001818588D01* +X0001231327Y0001817182D01* +X0001203205Y0001721091D02* +X0001203205Y0001750618D01* +X0001210236Y0001750618D01* +X0001214454Y0001749212D01* +X0001217266Y0001746400D01* +X0001218672Y0001743588D01* +X0001220078Y0001737963D01* +X0001220078Y0001733745D01* +X0001218672Y0001728121D01* +X0001217266Y0001725309D01* +X0001214454Y0001722497D01* +X0001210236Y0001721091D01* +X0001203205Y0001721091D01* +X0001231327Y0001747806D02* +X0001232733Y0001749212D01* +X0001235545Y0001750618D01* +X0001242575Y0001750618D01* +X0001245388Y0001749212D01* +X0001246794Y0001747806D01* +X0001248200Y0001744994D01* +X0001248200Y0001742182D01* +X0001246794Y0001737963D01* +X0001229921Y0001721091D01* +X0001248200Y0001721091D01* +X0000128205Y0001696091D02* +X0000128205Y0001725618D01* +X0000139454Y0001725618D01* +X0000142266Y0001724212D01* +X0000143672Y0001722806D01* +X0000145078Y0001719994D01* +X0000145078Y0001715776D01* +X0000143672Y0001712964D01* +X0000142266Y0001711557D01* +X0000139454Y0001710151D01* +X0000128205Y0001710151D01* +X0000173200Y0001696091D02* +X0000156327Y0001696091D01* +X0000164763Y0001696091D02* +X0000164763Y0001725618D01* +X0000161951Y0001721400D01* +X0000159139Y0001718588D01* +X0000156327Y0001717182D01* +X0000945078Y0001796091D02* +X0000935236Y0001810151D01* +X0000928205Y0001796091D02* +X0000928205Y0001825618D01* +X0000939454Y0001825618D01* +X0000942266Y0001824212D01* +X0000943672Y0001822806D01* +X0000945078Y0001819994D01* +X0000945078Y0001815776D01* +X0000943672Y0001812963D01* +X0000942266Y0001811557D01* +X0000939454Y0001810151D01* +X0000928205Y0001810151D01* +X0000973200Y0001796091D02* +X0000956327Y0001796091D01* +X0000964763Y0001796091D02* +X0000964763Y0001825618D01* +X0000961951Y0001821400D01* +X0000959139Y0001818588D01* +X0000956327Y0001817182D01* +X0000945078Y0001721091D02* +X0000935236Y0001735151D01* +X0000928205Y0001721091D02* +X0000928205Y0001750618D01* +X0000939454Y0001750618D01* +X0000942266Y0001749212D01* +X0000943672Y0001747806D01* +X0000945078Y0001744994D01* +X0000945078Y0001740776D01* +X0000943672Y0001737963D01* +X0000942266Y0001736557D01* +X0000939454Y0001735151D01* +X0000928205Y0001735151D01* +X0000956327Y0001747806D02* +X0000957733Y0001749212D01* +X0000960545Y0001750618D01* +X0000967575Y0001750618D01* +X0000970388Y0001749212D01* +X0000971794Y0001747806D01* +X0000973200Y0001744994D01* +X0000973200Y0001742182D01* +X0000971794Y0001737963D01* +X0000954921Y0001721091D01* +X0000973200Y0001721091D01* +X0001170078Y0001421091D02* +X0001160236Y0001435151D01* +X0001153205Y0001421091D02* +X0001153205Y0001450618D01* +X0001164454Y0001450618D01* +X0001167266Y0001449212D01* +X0001168672Y0001447806D01* +X0001170078Y0001444994D01* +X0001170078Y0001440776D01* +X0001168672Y0001437964D01* +X0001167266Y0001436557D01* +X0001164454Y0001435151D01* +X0001153205Y0001435151D01* +X0001195388Y0001440776D02* +X0001195388Y0001421091D01* +X0001188357Y0001452024D02* +X0001181327Y0001430933D01* +X0001199606Y0001430933D01* +X0001070078Y0001621091D02* +X0001060236Y0001635151D01* +X0001053205Y0001621091D02* +X0001053205Y0001650618D01* +X0001064454Y0001650618D01* +X0001067266Y0001649212D01* +X0001068672Y0001647806D01* +X0001070078Y0001644994D01* +X0001070078Y0001640776D01* +X0001068672Y0001637964D01* +X0001067266Y0001636557D01* +X0001064454Y0001635151D01* +X0001053205Y0001635151D01* +X0001079921Y0001650618D02* +X0001098200Y0001650618D01* +X0001088357Y0001639370D01* +X0001092575Y0001639370D01* +X0001095388Y0001637964D01* +X0001096794Y0001636557D01* +X0001098200Y0001633745D01* +X0001098200Y0001626715D01* +X0001096794Y0001623903D01* +X0001095388Y0001622497D01* +X0001092575Y0001621091D01* +X0001084139Y0001621091D01* +X0001081327Y0001622497D01* +X0001079921Y0001623903D01* +X0000332424Y0001196091D02* +X0000322581Y0001210151D01* +X0000315551Y0001196091D02* +X0000315551Y0001225618D01* +X0000326799Y0001225618D01* +X0000329611Y0001224212D01* +X0000331018Y0001222806D01* +X0000332424Y0001219994D01* +X0000332424Y0001215776D01* +X0000331018Y0001212964D01* +X0000329611Y0001211557D01* +X0000326799Y0001210151D01* +X0000315551Y0001210151D01* +X0000340860Y0001225618D02* +X0000350703Y0001196091D01* +X0000360545Y0001225618D01* +X0000385854Y0001196091D02* +X0000368981Y0001196091D01* +X0000377418Y0001196091D02* +X0000377418Y0001225618D01* +X0000374606Y0001221400D01* +X0000371794Y0001218588D01* +X0000368981Y0001217182D01* +X0001022187Y0001518278D02* +X0001019375Y0001519685D01* +X0001016563Y0001522497D01* +X0001012345Y0001526715D01* +X0001009533Y0001528121D01* +X0001006721Y0001528121D01* +X0001008127Y0001521091D02* +X0001005314Y0001522497D01* +X0001002502Y0001525309D01* +X0001001096Y0001530933D01* +X0001001096Y0001540776D01* +X0001002502Y0001546400D01* +X0001005314Y0001549212D01* +X0001008127Y0001550618D01* +X0001013751Y0001550618D01* +X0001016563Y0001549212D01* +X0001019375Y0001546400D01* +X0001020781Y0001540776D01* +X0001020781Y0001530933D01* +X0001019375Y0001525309D01* +X0001016563Y0001522497D01* +X0001013751Y0001521091D01* +X0001008127Y0001521091D01* +X0001048903Y0001521091D02* +X0001032030Y0001521091D01* +X0001040466Y0001521091D02* +X0001040466Y0001550618D01* +X0001037654Y0001546400D01* +X0001034842Y0001543588D01* +X0001032030Y0001542182D01* +X0001170078Y0001348903D02* +X0001168672Y0001347497D01* +X0001164454Y0001346091D01* +X0001161642Y0001346091D01* +X0001157424Y0001347497D01* +X0001154611Y0001350309D01* +X0001153205Y0001353121D01* +X0001151799Y0001358745D01* +X0001151799Y0001362964D01* +X0001153205Y0001368588D01* +X0001154611Y0001371400D01* +X0001157424Y0001374212D01* +X0001161642Y0001375618D01* +X0001164454Y0001375618D01* +X0001168672Y0001374212D01* +X0001170078Y0001372806D01* +X0001198200Y0001346091D02* +X0001181327Y0001346091D01* +X0001189763Y0001346091D02* +X0001189763Y0001375618D01* +X0001186951Y0001371400D01* +X0001184139Y0001368588D01* +X0001181327Y0001367182D01* +X0000495078Y0001346091D02* +X0000485236Y0001360151D01* +X0000478205Y0001346091D02* +X0000478205Y0001375618D01* +X0000489454Y0001375618D01* +X0000492266Y0001374212D01* +X0000493672Y0001372806D01* +X0000495078Y0001369994D01* +X0000495078Y0001365776D01* +X0000493672Y0001362964D01* +X0000492266Y0001361557D01* +X0000489454Y0001360151D01* +X0000478205Y0001360151D01* +X0000521794Y0001375618D02* +X0000507733Y0001375618D01* +X0000506327Y0001361557D01* +X0000507733Y0001362964D01* +X0000510545Y0001364370D01* +X0000517575Y0001364370D01* +X0000520388Y0001362964D01* +X0000521794Y0001361557D01* +X0000523200Y0001358745D01* +X0000523200Y0001351715D01* +X0000521794Y0001348903D01* +X0000520388Y0001347497D01* +X0000517575Y0001346091D01* +X0000510545Y0001346091D01* +X0000507733Y0001347497D01* +X0000506327Y0001348903D01* +M02* \ No newline at end of file diff --git a/panel/combined.gts b/panel/combined.gts new file mode 100755 index 0000000..11aac3c --- /dev/null +++ b/panel/combined.gts @@ -0,0 +1,119 @@ +%MOIN*% +%OFA0B0*% +%FSLAX46Y46*% +%IPPOS*% +%LPD*% +%ADD10C,0.0039370078740157488*% +%ADD11R,0.283448031496063X0.261848031496063*% +%ADD12R,0.133848031496063X0.04764803149606299*% +%ADD13R,0.13385826771653545X0.13385826771653545*% +%ADD14C,0.13385826771653545*% +%ADD15R,0.040748031496062993X0.06074803149606299*% +%ADD16C,0.11414803149606301*% +%ADD17R,0.055148031496062996X0.039348031496062995*% +%ADD28C,0.0039370078740157488*% +%ADD29R,0.283448031496063X0.261848031496063*% +%ADD30R,0.133848031496063X0.04764803149606299*% +%ADD31R,0.13385826771653545X0.13385826771653545*% +%ADD32C,0.13385826771653545*% +%ADD33R,0.040748031496062993X0.06074803149606299*% +%ADD34C,0.11414803149606301*% +%ADD35R,0.055148031496062996X0.039348031496062995*% +%LPD*% +G01G01* +D10* +D11* +X-0005000000Y0003425196D02* +X0000549999Y0000600196D03* +D12* +X0000809799Y0000500196D03* +X0000809799Y0000550196D03* +X0000809799Y0000650196D03* +X0000809799Y0000700196D03* +D13* +X0001400000Y0000400196D03* +D14* +X0001400000Y0000600196D03* +D15* +X0001120000Y0000825196D03* +X0001180000Y0000825196D03* +X0001120000Y0000750196D03* +X0001180000Y0000750196D03* +D13* +X0000150000Y0000600196D03* +D14* +X0000150000Y0000400196D03* +D15* +X0000994999Y0000825196D03* +X0001054999Y0000825196D03* +X0000994999Y0000750196D03* +X0001054999Y0000750196D03* +X0001044999Y0000450196D03* +X0001105000Y0000450196D03* +X0001120000Y0000650196D03* +X0001180000Y0000650196D03* +D16* +X0001000000Y0000275196D03* +X0000799999Y0000175196D03* +X0000499999Y0000275196D03* +D17* +X0001166600Y0000512696D03* +X0001083400Y0000550196D03* +X0001166600Y0000587696D03* +D15* +X0001044999Y0000375196D03* +X0001105000Y0000375196D03* +X0000369999Y0000375196D03* +X0000429999Y0000375196D03* +G04 next file* +G04 #@! TF.FileFunction,Soldermask,Top* +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 4.0.4-stable) date Thu Oct 6 13:32:55 2016* +G01G01* +G04 APERTURE LIST* +G04 APERTURE END LIST* +D28* +D29* +X-0005000000Y0004409448D02* +X0000549999Y0001584448D03* +D30* +X0000809799Y0001484448D03* +X0000809799Y0001534448D03* +X0000809799Y0001634448D03* +X0000809799Y0001684448D03* +D31* +X0001400000Y0001384448D03* +D32* +X0001400000Y0001584448D03* +D33* +X0001120000Y0001809448D03* +X0001180000Y0001809448D03* +X0001120000Y0001734448D03* +X0001180000Y0001734448D03* +D31* +X0000150000Y0001584448D03* +D32* +X0000150000Y0001384448D03* +D33* +X0000994999Y0001809448D03* +X0001054999Y0001809448D03* +X0000994999Y0001734448D03* +X0001054999Y0001734448D03* +X0001044999Y0001434448D03* +X0001105000Y0001434448D03* +X0001120000Y0001634448D03* +X0001180000Y0001634448D03* +D34* +X0001000000Y0001259448D03* +X0000799999Y0001159448D03* +X0000499999Y0001259448D03* +D35* +X0001166600Y0001496948D03* +X0001083400Y0001534448D03* +X0001166600Y0001571948D03* +D33* +X0001044999Y0001359448D03* +X0001105000Y0001359448D03* +X0000369999Y0001359448D03* +X0000429999Y0001359448D03* +M02* \ No newline at end of file diff --git a/panel/combined.txt b/panel/combined.txt new file mode 100755 index 0000000..88f6eff --- /dev/null +++ b/panel/combined.txt @@ -0,0 +1,213 @@ +% +M48 +METRIC,000.000 +T01C0.20 +T02C0.81 +T03C0.90 +T05C0.20 +T06C0.81 +T07C0.90 +T09C0.50 +% +T01 +X009525Y019055 +X009525Y018420 +X009525Y017784 +X009525Y017150 +X009525Y016515 +X009525Y015879 +X009525Y015245 +X009525Y014610 +X009525Y013974 +X009525Y013340 +X009525Y012704 +X009525Y012069 +X009525Y011435 +X010159Y019055 +X010159Y011435 +X010794Y019055 +X010794Y011435 +X011430Y019055 +X011430Y011435 +X012064Y019055 +X012064Y011435 +X012699Y019055 +X012699Y011435 +X013335Y019055 +X013335Y011435 +X013969Y019055 +X013969Y011435 +X014604Y019055 +X014604Y011435 +X015240Y019055 +X015240Y011435 +X015875Y019055 +X015875Y011435 +X019050Y019055 +X019050Y011435 +X019685Y019055 +X019685Y011435 +X020319Y019055 +X020319Y011435 +X020955Y019055 +X020955Y011435 +X021590Y019055 +X021590Y011435 +X022224Y019055 +X022224Y011435 +X022860Y019055 +X022860Y018420 +X022860Y017784 +X022860Y012704 +X022860Y012069 +X022860Y011435 +X023495Y019055 +X023495Y018420 +X023495Y017784 +X023495Y012704 +X023495Y012069 +X023495Y011435 +X024129Y019055 +X024129Y018420 +X024129Y017784 +X024129Y012704 +X024129Y012069 +X024129Y011435 +T02 +X012699Y006989 +X020319Y004450 +X025400Y006989 +T03 +X003810Y015245 +X003810Y010165 +X035560Y015245 +X035560Y010165 +T05 +X009525Y044055 +X009525Y043420 +X009525Y042785 +X009525Y042150 +X009525Y041515 +X009525Y040879 +X009525Y040245 +X009525Y039610 +X009525Y038974 +X009525Y038340 +X009525Y037705 +X009525Y037069 +X009525Y036435 +X010159Y044055 +X010159Y036435 +X010794Y044055 +X010794Y036435 +X011430Y044055 +X011430Y036435 +X012064Y044055 +X012064Y036435 +X012699Y044055 +X012699Y036435 +X013335Y044055 +X013335Y036435 +X013969Y044055 +X013969Y036435 +X014604Y044055 +X014604Y036435 +X015240Y044055 +X015240Y036435 +X015875Y044055 +X015875Y036435 +X019050Y044055 +X019050Y036435 +X019685Y044055 +X019685Y036435 +X020319Y044055 +X020319Y036435 +X020955Y044055 +X020955Y036435 +X021590Y044055 +X021590Y036435 +X022224Y044055 +X022224Y036435 +X022860Y044055 +X022860Y043420 +X022860Y042785 +X022860Y037705 +X022860Y037069 +X022860Y036435 +X023495Y044055 +X023495Y043420 +X023495Y042785 +X023495Y037705 +X023495Y037069 +X023495Y036435 +X024129Y044055 +X024129Y043420 +X024129Y042785 +X024129Y037705 +X024129Y037069 +X024129Y036435 +T06 +X012699Y031989 +X020319Y029450 +X025400Y031989 +T07 +X003810Y040245 +X003810Y035165 +X035560Y040245 +X035560Y035165 +T09 +X008840Y022480 +X007840Y022480 +X006840Y022480 +X005840Y022480 +X004840Y022480 +X003840Y022480 +X002840Y022480 +X001840Y022480 +X000840Y022480 +X000689Y027295 +X001689Y027295 +X002689Y027295 +X003689Y027295 +X004689Y027295 +X005689Y027295 +X006689Y027295 +X007689Y027295 +X008689Y027295 +X023840Y022480 +X022840Y022480 +X021840Y022480 +X020840Y022480 +X019840Y022480 +X018840Y022480 +X017840Y022480 +X016840Y022480 +X015840Y022480 +X015689Y027295 +X016689Y027295 +X017689Y027295 +X018689Y027295 +X019689Y027295 +X020689Y027295 +X021689Y027295 +X022689Y027295 +X023689Y027295 +X038840Y022480 +X037840Y022480 +X036840Y022480 +X035840Y022480 +X034840Y022480 +X033840Y022480 +X032840Y022480 +X031840Y022480 +X030840Y022480 +X030689Y027295 +X031689Y027295 +X032689Y027295 +X033689Y027295 +X034689Y027295 +X035689Y027295 +X036689Y027295 +X037689Y027295 +X038689Y027295 +M30 \ No newline at end of file diff --git a/panel/lipoguard.gerberset b/panel/lipoguard.gerberset new file mode 100755 index 0000000..31a1592 --- /dev/null +++ b/panel/lipoguard.gerberset @@ -0,0 +1,62 @@ + + + + C:\scratch\gerber\LipoGuard + + + +
+ -127 + 87 +
+ 0 + C:\scratch\gerber\LipoGuard + false +
+ +
+ -127 + 112 +
+ 0 + C:\scratch\gerber\LipoGuard + false +
+
+ + +
+ 5 + 25 +
+ 0 + 5 + false +
+ +
+ 20 + 25 +
+ 0 + 5 + false +
+ +
+ 35 + 25 +
+ 0 + 5 + false +
+
+ 50 + 50 + 2 + false + 5 + 1 + 0 +
\ No newline at end of file diff --git a/panel/lipoguard_Combined_Bottom.png b/panel/lipoguard_Combined_Bottom.png new file mode 100755 index 0000000000000000000000000000000000000000..c87b0eee369545b9a85930b009584a284daa1415 GIT binary patch literal 129550 zcmZU)cT^K!)c2bN2)!#ELApu_QbP?*dY9g%gMbhOkrs*q(m^0}q>A)Tq&GncB_N=o zN(oImNQal-^Q`x-d)NJACTnKR%$c)iXPmcRxV5fvO^)dX#1J?f~CeL0bU;s7oZhvLm=VCVr)6c6SFw-+vo$(Cf1U z0B~EPuB`Ch7a>c#G!U;bO&8H;(NDXS@~`Ms+3 zM+>EGThmp6W6;ykv9F75|D<2a`+pUFCA#F&jX@LvRq+zQEaalR zWa)VZNv8kLH9p#SszhfL2$bMpR!m^kq`sBR|Nk+oiC{A0BQ&&_206RMBN$4%j_V(Z z`M-_)_e6HlrS6zc`ou9eppiMM`b%y|F%e#HuS zHaDIM%@f9R1Z&xYcb6@#)>*{Pna_EMA)*DB3v4+uqi$DLZ3!*eVc^c=k;grAp%2!0S{ZqMonSEKMPay7px?Yc7Ik~^ z8s1e@q%RSg0Cp<^iu!8k^v|GKy|p|j{b<*}jbUJqMaR$S2j7x9b*#!lgB%|3Ks^FS zE6H!qyD+x9vt1HA(>17b|EB{Om}&{oQiKILoVmaIxqoA!?Xg0;-?>Jj{n+lj_2O_f zvBwH}@Al_xvulIwIX_~@|JFNFVkwKc!(9j3@Wokr$%OE?x2YB+DlvEEp#(SqEX6~P zf90O*G%Bn(=n%=1cPd-c;nXv?FdxVdA+;EH*2#HU^9L#A)E+uQnp*ni^55SRKhMQo zfR(jtcNmm6^-v?b+UER|HX&}Sw!sfSpDo<04h3uYchMP4SG=>(G|VjT+v*>cc{ijfrfrXb6S0sTZrMP^3A`Nu7J?% zZJTS_SJNjmyryD{ty44$udq<^ zJsW$UK7cJr=)#*7^1W{& zqep%_zy5^scKxx5GBe&y+rup72A!R@SLn*kXN#Ob_tr9n-mJVQJKEh|m{cM~a*qI| z{5@x__isf?S2V^z(VpgQqj^|D$ncF=r3WGIX-V7rk&4tm8eeg;3g#gL=?Oz73Kd}uRPjn^4 zrcY3RNC|OS?wu2s1y&ZGyDJk2Jordk(z;V!jONSTuRjTBOZBx%KelMw_}D}!2At|p6(2XyM}bxu^{VvtJsga;e=-Ss(8E&t5H0(6)O}thv11RINI+Jl z__NUGxA*P3$M0IqWd*8vqNnhE{zN#vlZmCTxk7gi{AW$OdeO7lch>H~XSY98YTnk@ zw)D15Z?gg(D`zOK(C)J7)7mnG1bXE^Cw40EK$oHK!|{cZqjb<2S8KQJPr85${amv( zvnT0`V5`uEH6YMyKLH_qGn#c4C*h1GyOs99mI#PCg7S*V(M@(Mq^9OwZm(yh8OZaG zh5o(`7cCy!XP5Ky#(7--FyhX77K9Ici7XhXyd3np9%>#q!q9+HfC=8%v12Ae9Fsg@ z6&Yx*tqB~wMvk5j>EZ4~s0?vDuORS6#x}}R=bP|bvPgL&Z2`ghQD9NOPftl%v&gh` zGD(i5L|8VTjE5|#u?0CZa(+t{4lG{mPA6<~9T8X}@JM5c6E5v?n0S%k-yT!7UBKo{_UI8L+C@4asC0`)>N zJJ|*4Z3Z(bc8X_Zpke(S#&FYz9uAbd{mJEZ6KA%-c};lk3;nW(pb=P&9n%s({4Pv< z05EL~dus_8ni`DK=w5)137aW#Jn_aCr#RmmNsI&O67|x1lwP*>8kFKmZiL5k#py zbdUm6tjOROz)Z&w(~kF_6lShG2nl>W+V0o>3GV@*z@Cuu$*?>?&*OtiHgA?_9yeA= zJ730s_=3Dy8+Pn2?f<)ToMNZSvb}#{u*XJgdb}3gFjVA*Q`t@aDb&ukRQIKs1zF)a z=Vrw+f9*M!9rIsLdto2>`PA|4^oqE4KJYyJHC=B)myY--X)D`Z}89SA&Cuw7^y(_ zc@~EmT8kSFK&}&D_~fAMpAI{%d6!%OKNC8pS^KhvSl-q;N4jHVFFruE@8Va768|_g zf=7WG>%5CP&^1Fu3YDC#yS_DTc~NrT36W3jzc8;;Ye(|To<}tW5moT$Uv+FXzi@t` zU1~Q)0O3V=O)i%S&R_Jq-7cQXIA0>&&+em8?6;cqe{TrC#dzPNeXMW~TTJpRb&KUH zxL`eZL15iiU2uaHsVV0~q1P(B?IJq<#g@WQANl*^UOGCog!NObtGYWQ)q;x<)ws`L zsNZiU{;k0Mhr=rPmWqmZev|JP##UbtTKFu1y$C z)+y3WmO%^+#}1BcPtX4A1?d8Qvcw56NiW3uXOI_*sbtITiqCM9u>4>|;tdtmRjn@m za*>0NCAOe^SAHt4{JiTq$Gj8Z83X`IRc8RY%RlsBdX$lLe7K_X2E-8F zX8QO;aa8fTzcvah(8_Q8BEjA};i-h!u%yHMSYwZ6%8j4&foL1lOe!>t?fK80e))3+ z!F_NRl>DbyK~%99b(SO>s7kkBn;}{oBe7*x9UQfIA z-GA83HqEvzHCnXms50(YA6(6k2_1mvPNMw-TiV*)Z{HZ>unahB7zCDiPsjzDJNA+^ zEIjf9g6rv!RrbT&#;3@LSK`&y+oa0g?{)Cd81Q*jZ)51$yeKUu;cu|+XXAu-+kZKS z-L=AUtutN8ar?*HqjA(L(&SjkbL(n*{tqNl9kRXFq#bAY2xzmx8vLL7*=SO71tvKF`Q92_96rX`w262m)%e#l_@DqPwN zUob0?ujin<%0CUjhe6mr;HU`wdF^_WP-D4o8^*3`V?LIAQGizAJ|fGa!##HE^z9m_ zi1soVPfS*!UaW0RZbmS2WJAX#t&g*5+j-eoj6m1};*~5751;&M7xoqApCN~`A+}Rs zSh1M=1cj&o@Sgs4?{hVSWpAaZS$4w4sfp3e#Y-E_#c}F$h6o^~%f^qB4y$gZcXRO5 z)4xVE(P4i2-3;~u0Htg{Za3o;fk{=E_%+6st`@WugGbQ^(pB^3@Fkv~Y(|C(K%N-Z zzZ@u36h#3X&WB}dx^CV}6yL5aaQ5X>X#Yivy$BwM5;mbsBjlNv?6i8m)~liddir;| zbTK9nep4QgEZ-d{70!hnOn&DOZQnjVNVzg6f}(lX4rPr% zOHJ>ApB67uJj=t%HPGw<-$e_GLS0pGg}=v-x;YrZ8y7aOmDC^9X&iCeGA*%a9kS3N zL7z!uzmO%6I;mkqP2r~cM;MBoEFp*h!5-mK!AQ!0;Uc|nYsRf=Sm|4mr#p>fG9`Zq z)tQ-or5G2d*pyfAQ#pQcd>Kh7rk7r4B^p?r%lS#3^>Y!Bw(%K2odHQMGMKWUoEK%R zd$~!hHfe6!&2^m=5AyAij2x*t`;C$FDHuFA2{6nH!36F!$u6{KSf48{M>K(n-WU?h|@Ip zs6Am5oQlZcuYw+M627LTktS(e1p@m0z_?nV>d%KCvb-LU-Fk(IZWZ^8Yc*p$z2B>@ z2;S3Icd?{LCfLqgw%lr1$h5-y>9~E~pCRZMm zdpae3J|v&^lIr^>|Gn1|Y0nR9oem1W>8{3lmgNKzu}71CU}1{ez9&>TPu7{pM6Q+tb9HqCM1DXu_&!@!r~1K@rxkOB#~q&g9|Nw4^ zETU#MaL%eUoe&7vyPzUHN7%$@w+Hx@q^4EQUbsgJLi->nMmRM2By3M-kO+c;r)nor?4Y%y`JSLB(#6o%X7H4?ws}#2a&f=cs8kW>m_^25tz?1{w~-WfPO7kRMt{v znwZfw9rfQzHQ*Avis`4O44g*0bHI1re=_}} zt?wZYSG(X;pJudw><0m(rB|Xt;VhG0wOwnX@4R8o3~aKphx#_sp_^X1ZmthJz|iFj zQ=pzS#DpOS0%`H`vMU=+FwB3R1dIO8Q9!^2l60wtnSR%iBf9DBwxyD+EgJn0J;QIc zKvA}D?dtY{i5wT+jmq(xPVX?Vg^g+NvjTj^-=j%OO2W-&TCu1E04`-*2$t*n#M|Hs zaMRf3KrF;01=f20R^0a8a~1x7FS3-}(GRoKwTfi$*g2Q1yhc|u>#0-OsWwes74eu0 zi{CF4NR4OS`x~J-c{>9BX&JEf&N{IIY-WQ>P3-J#Tlq$)Q4Kw5F)@R*`0IY+5js{@ z_J#z15dVaS9M=PFtQO!rxnD(o(=Tg#bRZ-u7ltg4IMsa63uzFf16Didi0!#RswL_X z@;KPA)wjd~iU3bk0bRf#*Z1s!!{rEf5jORWUsl_q0k2E$)|}Yx9J(KNfZ`Oe8on>` z+a@LuoJPbhzyb(B-iTM4PYQ->lQva`a~zLacG;lb-5Vjnf`BcO-STo9H}zP-D%bIy znJ=04b`u0xOcwjE7N#AtSP>qJMjE@$7=Azct9C=_9x@~;PtO$G@#wFBg&mw?d674c z#5U;*BU5+GH!$t}QpqoI(UTqE4~^M8Z>lQ*uC<9DP6kCD>4Y;sxuIMv5vJP*nOED& z$-FTTckR6eNM>q+V#Bk{QJ%YvijL&k`9VCy^~KMq5vMZ}Bv_?zHe*4X_4zkz-87IE z?`t}*kq=ECOzKLDXJ~?vcDqf(MxG}d6Fs*B`Nq7e>B8|O=?b%CHbc^V`B)Y~bDtoy z2wUA7A!akJCK|n>p1L>;gjVlaS8os}H&vuN_h*%bPun%RwaL@U&Ug!=9r!&g!5R^H zzRcrvH&H2l-OauIi*8gat!g+2bO*e4RZ#XCf9&S&zrPd8o(U}@&_We8x! z>J}$%u>(xxBCt&RD4@TQk@;t8q+%kLEjFY>=7^wTN~wZ?^;0}ZX6V;a8ILHT&4FxV z#irhHe6F-jgR8n|^gXE)iO>NSzaBM1QSbVIBHeK(=#hQShW$jIS|D}}wlFe{FCLs6 zav=Lu$1CZn;2E{lpP!5j{0tJ;lhvRmnc4YS zMHt2JwL8lyQqxVbaJbm{(R=|jD$}#fC}zCtWD(hP(U>peM<%k`QT4yyfvIByj9zuNMO1UY{(O1pWd0r6Vt*+}84B&5(>7V05u7j=wdIIvoQ~%jyz{NA zoVVX>5*9N>SZhIJ0Lebl6T2n7F=(UU6)%rbclAp!&=gPs8IO6E5YdIu*(vR9?XD<1 zS&`tlEwO%uY^P=%Y%-{zH*8>Gg2aw-?r}V&JmO#BxNlrJ-NpALY34;p=>y?XcLy3= z0K$b^4tDt{3O{#~<-HWJ16}qcmm#r6D(A}Ewi?DzFRewgK?Nd;_Q?X^M-lM|NdBtJ z;1p+-%@9M9#uBNh5pZ_)!qk45>L;wcItwUb=gHXz7=$?sbun(2kan9Vc2*J+;@3_S@4m zh7TWw0a#t&cM6K1fsa<<*LOC*k^rNHpa;H-z|g{jLRRk%+m)y*KJ^XD${Yo~2n73^ z_@Fqc4;?HU_d02oO0~-he}8+;v60P!?;b~c3Zf!L5^D+!h~W=LW+pSL=1M)omgTAl zjkuQSmk6YYZF@7%tr%C756HssG`wYr!(X9Vu^EOWY)y6PNl_r^R`*HHpb{&GFIHJe`#s-7$LL+Fi2`bUXnQeE=-2m3F6wt@tojEbO* zn{)|GZVk)Zyyd2Eyezq2ttE{x#Y)tZ`;%g%&T(7O4%5?Gu5Z*4>HBP0{%(>m;`-mq zLhNc+YVRm@uW^*KnQvbgOI;D2O#rB9Yr*C+lme~z5R5p0eutqw24%Ef=)eMSFFa@r ze$3OF9wO{%=j329#hJA5?v!Ig#fv{;JNoQbO7mm1CHf?eH+WKk#r$8StNvu6<9zec zl)C}r-9PBu#Ta2R25(D0vyBllo{Q`>-*Bl-ribuKYgg$n!%c6a7y2c@e-e|(FHYE# zdUuCGXxA_k9Xxs`Ih?c>j~$S($Gl)-uhmxYEKf&drdUzf%eD>-p?9t$Yy_f>Fv@VC_U~(2pavzwu61kJI)|w92Faidua= zpRMyAA&jz3O4wu~+<5N#rM1jd0AfgH#&2{1(8n#S1nO3|u_sMtDP1*hW*4UdlM1Ko z?c?QZS{FCiDL@k8>Z6b9=*0KpIB}Z=Y+faRWXWU0ZL#sp1>2uk>!^;!Fw~)~PNcFx zzdk8)Qs)E`EO5=|F9gz!jH2UTj$>`7?4owB7iKppvrY=!2I7>Tl=YZ16ikMjCpw}K zcy~(2*^lr+H%}3J2=8?&In5Fvv_|q8JWd@WM7_#E2qEUUgj9gH(I6M!+?Oa-t&X0r zEp$Pda;~=ynElPA54De3=9uxLq<#n1vSO9~0pIink*Okc@(;CgGrT?t*MZlX(#zUw zbVf(vfXqiR0F&fLr? z;J5xDOI`?X+5@#TYTiMclvCu(LvW|$xmou6qPByviBk{}luLs)wfLvA(;uAqF0nbN zKdbxHlD0H#<&s_UBTE|FaRCS!DOPynIEAK`{vJM}fLHNrgxs{qvL%!&W{wgh>Ax>IgA z-+6rjL?2y0*Y$U|POCO;FyA&l?ogQ?z`WBETS^4ui|t4^NnwONw(gGLLZqK!Uj6>yMEE6p+U2s7OAXeUdeg<#rN76@&5>rfZf|GO_hu_ra^EXvc>c4 zT;LX899J&z(F_${Q?2EmQPf6Ez_Q<|NUJh8>bmg;tp*)6Zf2)D4>^3(ykaGQ4Yw7( zvCSD+Oj#U=?C>7J){}(U=IK2JZxDGhQfjBJ+WvGeN1VxEJd`e%yDgh-M|s-Fe!G4; zCmGh!H9o6lbxDtO4>srxve4=(i+jLKvH^e-VU|9<`%LABBo?jorBYNTHI>uM)g0mS z$pwE6s=hCCxAcu(BA<QuZ~w zrk)&J*4z-Z+FKX|jOjrs>lg~FQsi+u*{d6{Rt9P_WBpS?AUHDw@3d`WN@4jL6dj7i zZvDJmAhS)7QI(>8`OA5v{i&L_n5@FL`y85m&I!%j6Y3F^51;wzwo@il+V4I2xJkUr zaIT|)U!nsT7$A8u6<6v11|c5FroeXgV}7y0MBR*)+(EC4@bYt!&g(WL)9U;{og~qR z-W2YJSkhgpP3iA}5$X}&1L^OX0g(pNy>n}mKK5T>H}B3j56C)*@%c1v4218;e$&1{_{I5KcNFWri-aF?+A9vm zG_NrwcOgZ%)yQ`8!G&MzDNd88hk2rn-RWmIPla;{*T}K@2QA=6_18rOC<#fMMovfC zfb^d~REBxgSG;77WZb2yjlU5LAAgtXI|7WMz`i2@9BfERR_&Mb-?P69qMwIJkrBTf z>L_=M&laYg`QLvd45fD>7kOx`S!;LtkmY#SAmT9_;{GK=b5Yv%48s!ZOL>Oo8b;-= zKeRllW$?s}npK0yl5bvK+x!47-wfU5*_iDB3V=9j+cVK$#Kjwt1iE4_k)!`vl z7TVyY#%JSUP}17sgeow^Wx2lCLo3N8ca;%9*8P0EhKiJ|he#nHqFJMRU})j`$;CDB z-jDNZ*Hj^Fk7lAQ%0as)XV^44wE6kjcyjgHp(8lB(JXd+;k&eE#}?%LZip4r{~i~W zzFmV3!Z7<@kL+|OlE2~O$ya6mTt$za)3mT-lC1*+Yq?371K9AJ0Bg08BZ)|M+AB`t zed1}oK?6Gc)wlDdi>^jDLy=C|K(s4@_L<&=wAGP_kZnQ?o=du64B?`>4WY2B>a13D zLF=mmI})Dxp8#$$m#xl86E{WhrAtrc;VBVwR4o+O=9Bi6%GgI2)wTAm$zWp1t;bSN zn*JwCdZ7I8p7-3sqdwJEv)cH(EXanZ@5p(xb~1Ifo72OWUgQvF@pKFikB!x1+=uHTtfzjjhy%H_G_3`+iKZBvmRcp^i9jtWmwkcOTL%J7*!p!ND2Guun3okQ9JyfmG!j zGlx9{@qa!^^(UVMe@G(jlrPXfHEf|)5t@s#QLfd_<$8WfK&zN@z-unlDw4Pri$K-h z^aqr-mBzr#nr{3l&;!d|PJaks8%3vN^~5GR1q2D2v}7%swLSUnTuu-a@x80;KGj>9H@XvDwIN%35i>GDryYJQ zjg0Ln7PRpGx9{Z(055Ky3fh(a5#2~})?mu2l^XiT7=Q;?7*Wd^&=YDmSWL*^G~jKm z;LGUZsq6(}Bwe*48-e=j1Th+Wj{acqD+t{QzR!g2_Z2zZQ)a& zHlG-Cr;GtqZ~#*~xJgI7hS?(Xe>T(C2DXd|me_Zks zT@blS`eFd){tk_lJT&MzaiWiay#h0GWjIXXn;Cl2;Gmc_@h;=~seY=H+PlXxsr8LM zIC_cro(Xspd01$ zYkI}6@gw!Z-?uA!Uux_t@6R`x=Qs;zc;jN7Q?`l7-AX2`v6Tw>!(Ym^1JNjel*=a? zB>(BC%kOc%^zGDL%CR3GMwdrp+61rPwWJHnZVz_V-lTmkJ=(76vZF611Se1u`rdhE zxlt-{+}u%g5}Wb zl^D?KU(Qg+bv%*fNWy2P>liM8VCC)FLhkvC>gCalMsk=v|J|tReeo`#0EiRQF^1!F z&bSS(yWtR*o3x6sjpnSI=SMI7bvps(Dd)A!S%r(w$5|s(KABK9n1aRbjP}|Ek`dV% zKJx*6-y`$PNk2rZF%TfL!_(({m6@Do$%&N}Umh|K052Id8Y7Zxe;WDh{20*GfFZ57 z&1NIS0#Ukz@1wn-)HNV+%zpCZa|&QSK1CR=}64w<$Vc zh{>Z*A`DUf6Qx!G57?8?)S&(TcWQ~D8$s*T=qW|y?gGxLe{K$i+TIX_IDb)JVlAwj zAsy}vu91e!z$#Q!OZ4Zw)bdpTB<018?nD48dXDnt9tITx8T>(g8pSBH6(XJSZtb8g zoKF59JPC9Qe!dGiGfc-T?ksn`ev`hN@Xgn&Gec|;9{DXbaqKf{0c7hT;9~#L%>7Uo zUM>4*@sD5FnUY)$y%vXS4r&#*Iu9AUV{tlHfF?6bi@iHC1=PJ`%}OrGH1vo(=OUi% z3=_5U6SPGdc~j8F_JqJ!M`V3NO4XN@>F zg#ed{;==bQDiZAxI+&1&hwOjr&#?;W2Q6UzVD+}pVyOcdH_b>Uv{@6*Z2npHdq>Vm-vn+z>y@(^IUd_ zH%UR(yDbyCbE-Y{1(zQ3?TtJA>FtXN_-NQ@i|-5Y1sGuSt!D1e*it~a6av1|c|p_? zwz=F)BIK}&MP=J3K0?9Mu-LgU-Nc}ugyHx}1yhqVraL(r%n_l5T+z6OV~cNiJ7oY( z1k0kT3h8WMZf29c5IY6T_w%_8ExdF7^&e9EId63`{vjteN9(C9lJ2=Hs3sO*^%O#X zDm(YO38R2r$v{@WI1J?3S%wp`Yl?J}#^+D(s|%XFA>6s&9n;kVP()Zk;Gmgm>xuN$ z1s-z2uafwNncab)%0_W*Q4b&4o;OIn*WE+K`bAY{CeG7TRry>2I9Z2kUjQMqOy}UW zB88l^hGJ+bzXRQ1T6` zi|fEl<>CWTxc6e8l?gLzv22JD#)zqaXU{`Tu+{A_Z2H0z--Hac`A<=bAMeKZ@sq1t zt|<~G--FB*5jk&}>_V{ezK_8lrHdl5K&h}!my3PO9keF!SBKA6i|N-3@FOg}ekA-EEIZ06G~?QP;9LvR%h#+CEa!gWWZAv6HkiBIox?ePO!PCp zgjrJ+uL%Q4dys-J`|&6jk4z`%W&M-&seWd$N88Ge$qvh@OJb&tzEDV7SH#a`d0&n1 zCRegCv7gSpH*%NJh)fHnUl*AiBHeRfWA`6QI(dU8F|c_T1cB^mSh+y8E-R`0`BskQ_k69H6Pc6tOD0JX|K}V_ zfMa!?r?|YVVo#kTi853+?VXB~yqdtr`uSCF+dEglx)Z|WXOE(eSwWb@Y5Z$hk7f6@ zGid13+#!|2Sp987k}>-iX+e%$Upr2}W?!L5>EQnGtS;!te2ye!J(|m3`FAgAK*XTb zPTaBgEF7SOX&lA3As(+AH>_!zw7cE*SZo#%FKBCUC*XA*v>^Ya0%GAC8dW!YoQyZ% zQ0HEN2Vz?d%vsIV~~&4-gn$xaMk`p1JbuIpS3;%$B;~o-Ruj%MALC ztFgNxL$)2yN!!mm8>Zb8K}&azzOUhx*-xqh!M?^Y4Yo!Ky;d(fsW7(45fW2$57Mc+ z_C1w`WRQzMd;M0nT-d3}i*u9ZJOe$c^e@Yl{6AOFg2Q(2Cg$K`HkLL>Oj^xc+e z;X}k9aMbrK&@2*#pva6`oD zYOV!p!${&rY@e?)9#oz8cHd4uE$-*?y&cBT3N%4W|9**$w+fz0z_%TG%Vk{cd+1+1 z_iQ})-MoP>+&{cWfP%ar>{q#3+_S1}OjiRul5R|JpJyxwOajl`l6 zTEbkLtuoU)=*2H3?$WWS-7OOXvW~^HnHkYh=c_T@_TOVVr_pZnt#7MNuMAVM_x=5S zuvJs{|HEcg*>%+ZZ+ml1fW&d|Cd$fG`4rVS4_0^H1g!*xBX)xHfwc=Oh8n z2!oFAx>cy4PP?VeDeSp}@4#QZt3jgv4i_5N^Q_2Wo#-+-vjnrP#}IX1$mX9x84jw~ zVGa^C3C_zCfO3^(18)uaX7%dxb^z=OcUncbbR*JBdQTT+k`O$ z%1YYr*CM9i=D=Gd&A=s2pvP$|Gh5wD80VT7m>piS5hF3@u?F3w;POI{eCVl z%@cBMq?CvVhABcvm|fMs0O9yXTC5@q81wtg6D3FVXNb{f#LVmx_5QbC22&7V(YFe} zIS$ot3P)bsj#iDttd`%Q$F?-oTK7S9c{_`*y<7ZXI_|=vpOqk8lIOqmdSvblO0zb| z?4-bQxANYpW(^74Go5*e9N_1a*x>r~nyIMuuBFcEt$`Yyl@#?`H8bj#>c@@0_C9P^ zs*C@90Q7Oro$KKLRZ(1J4~K6_gd)EOiXX8IY+l}xZ3osNA?YnIFw3S)*BTxM{$g*C z+cS{$9v9=X4u5wz%f)ex_uZG&;t2l^MJlauGocEcMlZPU=l-|`%{WnWXI4DG6Xgo@ z+Y?Mi0qSaVeUMSjZe@C*m% zlbX}vd3bns7#~|pfdx!2`|9tckQw_R%K3ERGfpvdolzps+j{rg7abYBslbLXPE~eS zCAzUZ=j&NXznAk)lhM&K!06OYu0L&!D=mNgj}Nqu@NKzXj#(`x&%7?ntY8~|6_oT- zGtO=KHBx76X`k#h59CyXlned%Fg z|3pW4V@yatgPbt4>mh!NH?)_6&!maKuY@!c4?4%77HR-tzx}>N#)vP=wi0Xq@|*~1 z-QH$rewwdTmN{%~@$sCi8T4>^lXZN~RnKeifGOm!VUZhn;E?y_4V8x3K ze{U>kZ6Jn>5G)5ie)RZC`xyY;adrhN5^%!{R!?SS+Lm&Am;K=;T8DQ&dWb-){Oik^ zm5AMzUFwwZjXT)&<&&ed+6RIGYii8)^RjB1g();gXaQz%@u^>^*VR3yy^2e>GbKRudRbVsSDoeptiTW(u%}sF z9}~eF&$N?!I=OfcCkVhjliUB;;$`Cs(WZe#{eE@FL?;OT7$?9&&w{(fB;MZT4?Atn zf!eO)$55y7ahfjZ1g1Z^!SDgIg}9#Ptw^Zh-#+FDcLw z7rS#9Qg@~S`lG?r%m*>iDayd3b*~)YjuqZ>@knvwQO9?uLU`{@ac=T>OjaayeP3D62zE=I(@%`&h>7cLbBE&ogHxEuFnsX$El zW9)>JMO!U1#D4;lp(@KWy|>@pG4t=0C{4sOBt7{?cr}yZv&4AWgn1pA5wBQi_pQz_ zg+rvwa5_b->sJp)=-a9((lpHJoHIed z-3u^4Ee4f)>gefJ+nO-DcPd7|hDvX*wz4m`RBAz#W@w;+G<(ZsQ}aFUx^eTkSOA6R z&B}=UIp08B!nZsF8|8fK%P4tXCMDqpjim_2Td^|>jmXNXNjF%|_59WAp2r8cvcAc> zJI~NFPbN+QLBAC+L87`@rQaa$;}i_tsfM7m?%M}UQ%b&4$r@+G5W?K&hZPZE;-G@a z=Xy_Ox@P)17cHAMb$hRlA8g9=Q8T!z1n7@QU*8Su^+1|bwh(mNRjVOQyj|VbKK>)i zuBW6}c_{w4ol{Mz*KXc)s#r37tJ{(==a_Cr)EIBo_Tzz4)Sxwz`m4*@cw>^5DT8*l zX82vHj;TfBjOoi2q$x0H*|2GAs>D%(!cz4B z=TT0T5W|YU&ABm6O>air#-67v`j|tzQ^cLY+Mh4vuu2i6+5*TEgC75_8iIz|RD0)s z!Oa1Y@_`@kIk#YjLk)9HjMt5u~?#iC#Ty7JDEaZ=+iY7Jn}ar|qm|0-l~#VO*t85wOgfx3I&^}nGyk?G1e zolaNlk6C3ze#qD0D`#!DJ-C)umAJq03{i)K3CDsKl(Tsj8(iZa8D=22uSlqTC=XqF zuQ{()2k_mv0ny(1JJl5tanb68o9K6V@ISV@XG)!0RtF7Z0l8hb1HRy|Q$)N`dw7so zck>BS#uMWrq@WJ$3Yd|^#Hzmq}dFIAXj%V*h=Nby~ci^iB4xf)UwaF!kGQe6~ z`=&qG>TqxrHTuW}GwsZ!pD=TrvwzSvKRK=LlKbS4+Uh-GUNKLfx6mBWknb4@sBrrh z*8E?U&HPKZ+OcPl(f18m&f*t&)@4`O5`UKyTYf^%!gb#+MSV@I!h^pfz{Pn5{SKEP za-Y*?JED`h3Go_SK7G@c-Z^;G+&()ibK3DwIwT5!YTivvQv#%w33r#bX5q0RKc5nX zL-7SmLVI`n)*rcqC~4B1kevp?5go-RCP3#1&(;!$o3YzX;k9cV`o!esCedRibd$N$ zsd{mTvqPJ?#b~Y(K;tZX9Vc)9^|kJxw!5fo6`N~z?=op$T7tTwM5pkJ^@Nvc1H^Jnm!GzW6~W_Ik&w$p=+j{WW^ zGT0?zT|jzbe{AB-`nSA=T@%V!{*F{CNose%sd-``PsO^Zqqb6L0n)C2x!uNfwmiX3HQXgDvz! z)gC&m+^8^pWn7xw^JKztp_)}%qcr)}-p#`(K8H`wuZAxib$_tmBGjxbBe*PN$!jO< z;Ie5`d~DVi(GnOC(LG1sWxbr>jZU_D-~K;}P~+{8Yl!4@jz#cdJm>OML9EjF$=7GF z9GBUv`R*;sEVu1RtS&YoYBsdxm}qQPZ+zMtv;puN0d4)V1HIi6!<~eT4lSIT_O!lU z;mIca@OLLoN8n@8g@%u=R=we193^?)_-X!uy!^p5!^4qLED(hoZ&ewQ?@H|!(-~J{ z=>EFCwaXoJ+FSg{QOudyICIDdSY&hFdAh$}X7Baf*X+>;)KO@ojCZ52%L$LnzTWVU zC`q{GE)x(=v(L^fevnO@k7|AGMeLL0VtPx)XfxZ?O_jx>j z>yETvm92a5?=nrdFCG~ORlfS+51e`>g7|tTq3XSw76TQf0j=@~klPk;JZY`Nsc9Q> zw+_J7ny3>(DBn14%#wwj$DA`rwQqx8kZ4UR<4dt@?y}omR-i|N3UF8B*UU18hkN3x z1$_Nd3%K%M3Emnkml9{pVgXRBtm4xs)G50Dl5OVKppR8PiCWw=q#hT$E22Ht(eD~DlP-P0|LA(hoTGBLL=nZUYwBU;}oBeY(z12$3^;n z?!V;6!cAYYa>f#}9p0SAr#KCV0rK>#mFYKMFC#6=DZjq?C&SC8h(12!*xE2c(Dm@J zJdakRS;gO&?R0#y(hd%_;W!v(y;^X4q`{B0xUe3x2+#nc9a{yR7sL@1DoFu}@54~W zCz)-ZCc9<<@6o({uAaie>mDQI|+L-7= z$9eR=xm>jJDvAXo>Mh3Fh7Miyp71(#)H=UY8U10ov>}US5ItEBqXBuHadaJ?K zhvV{%-o=j?G25ONM*(Zg+}=guvqlJ_J49VlxA0)N581yNfd_vyj5EfA2LOEnfW8jY z&i;cBW5FQ|*gCos*>GmNuHS1}KBT6E1TWX<*kL9H?D!0{a7#VXXZT`|U?fwlXjcyQ zK8iO_O(!(5bG0FwZ5FM5cR3}+P5(Pf+Gm=+E^1s4_s0sga@|fdi8fXZndVlq+zv7H zknTK&y&AKk_dm)DbxUb^Gb)o9FM+dY4D$vUh*+(3=itE|W2C%bf|Lk~v<{Yf_D%d| zB5wTmUg2V(IoAyXr>BGoS#KY{-OMbHF--2(EeqWX-NEq@;RDqzBwq5XGt-owtjOh> z_m{TwJ*)6Aoq2!;^6=fKYc4RUFyf-(tX2vY0mispYA_Y~bb8RNJ=U+9*Olh|u$zGl zIlIqZdEWkJtNCRh?$TY`*S+a_bS0PqUD7_<_`ylA23DPns6OR3`AuWYV(|9;*xxht zH<}I)%t2L*Fuk?pgUP?N`jK4!$fs?lnoJq<`6j_T1t|6bSl0Dj0+fH%4KUeM%<)i# zK=dA+FMF2sSzQiTcaboW;;%H85H8)^!kv*eVm{8MyFmn{l3)`5yt^WIxCPDPALkN# z89`_cT`kQstTyZNF{<=ddXK+u&i{z%XIl26Q|_IFXpj!C0^klQR+)es;p(0QKZ%i( zr6xc)pp7Xfz@E7J8R-M`edCQRl`lB~vBIE@6m)~-gw8xg_?sX0r6Eq_1wHfG^|qR> zg8QLgj4KGNl9nUpB73^=uq^V&3i(daZv6gNC-Gfxx2In|R+om|Cko!L^r7CGGJb|( z3cd?4uzaKf(6u-;6Y5v z=j>ePxpVKurU9^M0@i&jgFje6PVC(8oMiuwXX?Z*^fc6E_i$l*{XBd1&`hjeIrXbu zQc=qNT@O)^;#wpy%B28{$lrL7$)2X;l)yY0(6yHEdV1`vEAZI1*-(%3G!kyTpWg9n za>^qd4K`ai1ovDDkF9jS341lBmNYPfRm+SjXSYCT(d)7a3RyOQ1^1cD`MccHKQR-V zseH6Y>VhoaweD`R)mJIVeBsLnY!p!VwFJljBuU1oer=U>xp`dcldN}U=x+-_1q3|t zNE`?cBMjZ|eY9_mrnR;u^7vtbwjPq9R#!sb)n=@xYqz>%D`o9k=O7~A2rc=(~3ADh`4`mZ8m

E4FmdM>;H$l}}X3RIm-1CB_pdhL1RuA*JnTP;s*8Lx3B3Om5)wG@5h z-*d;vU*g?vG`=C~VpuV5wp@)#d-c?D^}N!qhAVqP!912BdEPA19g=f`OQWQJX*>#L zv34RU#4zBrq^4;`;a1ItfMo?DYgfi0;RT4uZbmBrB4%`?$IcAH?X%}7jlO;=Q`@4( z_X{ay6>MYb+^%UEzDt>{?+a#wF&Xq;6a2 zK1`+|xb6jT3AV38-JrH_CGLe_?=v(Rfp-v<4=c< zb~`>KVtbb|G2%F*X@=AhL$-9%!U zMAC(K7Frt+q7twtHOiIXJ_2B3VUgybPKN@iT1~`h(Ab`Lvvtyr;UFot@BbUPGoU+p zaBDxPS!tE9{ia5qugw0LY+iMZY25e7c_WwKrvf{q|6BI`MAMHcl1YLfH^ z_Bmkpe4I~&8YX;anaPm6AWy+;j^U{^NXDeIOcu;A7IXN2mnop1{O>@|GRmQZRPQ>Sk~19djYvZ zG6rtky?L4JNj{xo*+$?fj5gpM!j>)RzD8$03VE}!labF*>&H&_U^EZtV5mb@AKdvA zbDV7RkY(XO0+Q3#;GqK9dQ|5GwJ<9ow{+w*Hzn=cCbNdQ& zt0GB<>}+f?zTr!mt@*CGaxNne8s(K29FAt{sNpHQzjGBA7-AQIeS{9ArNiO%hE2zx z$|^pr7%6}x;_+#|yBT5Mt@%SB=4X)x^R=rdt80)N)%u+2^C{uy*9aIBy)OSzJXE3^ zU!A5Hkh|`oT81Gh`NL`T`-SVa8|VN%L_2{IMW3a6W1-UROrg0_y56{M{N$W{+S$PHsaeAaHw=f-cm+@;-(Si0%;wde4qb@z>o& zwnY-}BbKZ)UacT%7NWx^{qu&~k0&qMD-mmcks_a$`YVQ{o3{Vk6aJ7E0oGPZ4Myw( zlkKC%I`7+FLo(aXSZP4YE*TdCw2FJb%{oh+0tq3F=&ZCc#C43*&x6O;P^r;G9N961 z?cqq8s&E-Fe3)ZdUn+m~M44q})14eahh9)r>rBOc#f)JCS^hDUr}xu@z^TpA@gt~e zI{3E(G$w<4m6_fD8wzHVj1SHZ*PgBnT{xiu&umbShKv$aI{V);N%sEG)Ad&b8a^nc zbY#AHB{yB`WB6cnLB!UIU%GZXF2}Pg99SuNL#3Khbt8*w&nQN*YW|CThslk4R)p!yq1=tfjVUbd;c zZ#exNg%!M0)C5yD&ZcFJugkr3ek5Ij7q5pI)7|aa96#Sg@(3mP0$`hjE9x_ahgSHN zy|GZADdQD6P@o1?a(SjEU8UO0hxovmwH;Ylt_%R=E4+60N!-7@aZ8e9HgV;!r z!;1*(m1Nh;w!zuwjz4Wz3Qvc9$EKac=X*^)v1^NTUzM9K0FLW1cRq0A{LRMT2PibN z+)dI*J|?*0KhQ{1LI#h7iq-Y8|GaUE7T)7aMx=Xa$&2u#{ywoBjQp7e0`flhbulnF#v42% zmOq!+Z6cl~bF8}u?+av7DlqM`cIh*`^tvn;B$J*N>7jl-Lt@?3nK3rSv_}vF$hP0d zKz)Um4Ic-r9oW+Hw&%^1z&&uL3hO5S+}+f^di*tHbLnO1_^ITxuj|?rH!iU0PqCWz zt#y&{$#MK~lEQckFZlI(SV}Gong~luobM<95);Pzr z!VS&5$*v%jPX+<2-Qy#R0knSp={?JD=JY#*sgO7*KQUWp}l!$vX8&7bzyyt3v%kxL#aW2EhsCBe?LapwG(8(-{ zwvl6+p@g~YjvJF1{_uOKxmrjQj~8*z1SKr5>n*OywnrvHA)ScrI(KQTI(w~Sw(aaw zpdsO49tLAuGU2|s!wMbU)ql0PIr-WQ?dL;AxWnqx2dDqm% zYuOJsc3!&hxhf@L1Z-WZxm7M~QqG%^_ReAKs7J(Z{; zw$M{s1~dt~K@WQiEzt6L7ak1Jy*h1`XwWb*`m!z&Ro`tS477sh+@dlP2N}TvkWjwq za;$%VXNCRE6mS>mafnUy38=-!r_~>^zE-+QDgI@b0@NoXSW#k&39cKa!QF``%TBm| zrY@Ie8!F+Ggx|5E_+trcv+)UB&D)C4SQi$`x7qSXsl#Zj3jg)Ulcz$}mhgcjE}%ga z&%DsKg)E>GiWi$AicJnBfGYYW^Pw;w&sZ!N;nKCQf*bGKW=Qay`&>WI+YrZfeZ*N^ zP{A%LY6#}J{1fX0*H!M7f^*L65A;2vmOQAyf&^?IaOiJu&jWsQYw_v}cL+Arn}?#3#a`XGnKx;K+!t89Yy4jE zZS74b2rF)-@f359AJ#`Ysdt~Z#4>Nf-Rj|p&(qrruRx1VYyOnBH~_m~+_1spqko=x zX9^0Y<BP1lUxr9V}T|6Arf|B%e76ddmUx73d^OEqrxcQX_5X%n9m z*S8GdK5b{uuZiO@#5I*(@Jnn@|%w(08d6X@E{~Wl)^{MmQR+P4(P{F zm4*eai|o_D$8%>u`m`8;OqF=pHH4;*jqmSLB-iOs99Eq4EbUkAoNM%KQg`Lp;B=O% zJ<489a#c(A_|7(-=^ws41a+!Opm6TkD21Q^?g9oDubKIa9H_DW=N=zvKa~y*m-D0z z$$F3M1cv(OVT1|GKcD#>X-pBjFFz6Nz15EAo;|UoUo<@@R{$<1N^0d8Z}97DKt|;+ zqqM|7BUgGHSw}s`3Za2x(`nYZS)Z)WTM5sUCcv#b`eKzl^$AaH6SKvcR8FR6T!x%d zBWcV8C38Gj1SjwD+u7Rm3qpg)@L=r?B%GXoY8~(=1oifOUb6{TE|RZl%9$B3Y~cEa zDp^9ADs%nkzD{lq@u9ePFzs#{ISi}a8|GKzv?$YR;+gIWe9xp4-dTc@UiAh8`cE8k zUT?$$HE>-J;9jRfHms3uufvGibD=TrFpdu8fnK1OFD`~-puZuISW2kWRRtEWk=*g;xCduk;QzK|225l5s4XU(l?aIPK5PC(%NCj0 zNHF#|7y;M5jqzY}3Qs}ABOjxIGw&JniKrT%0tQI*Q~DYg_PwP;^nu^r)E8AXc8PQR zcpz2buQGNyf7xaH89Mm7)ZI-1t^`kgw;v+pTW^u|f-T*>4K9>|GBt5VKhP>{xk8m8 zR{qpdS|rs3^TnAl)V$;H8~rdc&OqH3TPs6Ex&k3sHyN4QpCr*+wN2I#{={}SyWUre zrD#{TVs#pju=(>hDsi%7g%F+|6Jdp3%lku}N&CGDRbUtxSvb}tM&U9?(jc23R7nFU zoV!^GZrL$%aM};NTGP^ySNL@VUQ$Z|-$!mI+w8aNyzGMRXUWfdR`y!X6#5TtOn}n~ z!@))$FV1+t?8#@t&-(RtE$erp^Xn(JC73{LdQsT10!Jc9Ohkc-4nL68LLHA+5xWMP z8jGhB#0zkDX4I!p|DmVTu?J1j$YcIEpr}XD6tG(Xe&Aq{j)5x-v{#tVzOXqZ76+WUDwnC-(7p55+Vii&XJh#Pf?C%bYv7!X zGQoAPWZm(jK+eZW=q;+HN!$p%NAZ;awzNNGLJj#!0Ac9?<-Y+)nL${N(2yI=c3z}2 zhZHW5k7FaeffH+1OJQc?luYO-G9j1plIFM}AdZtee~}xdc~hq&G_?S+Q>u;bi?r>Y z*@bn4S+6rfT^kFZ(!49Ue}WT*1m?ahEZKbh$k--)J>=~CDCY|`ghlNN7fqS%kQED3 zpP%bM)hv-sh6s2f?&6QrPrphohIIJerWSKdXB$pcB_(n?WD`+Pni6{Ml){U*b6iQ} zyTQ0PFOO}zX9n@j%}G}McN;$c&Y2fXNj5vgI-IqX5E3}DCx?Pn^f$XVzE{~oCzp+| zd@cF?J+^H;4LO=0QW8J^sOoQkN-IKSBbVXU{L;Fn)}p=BxaeSa0DX)T%0 zpZSd@Uw!$z(y}f$lzMR7EQ-M@#L0aXuKGM%81%!c?naWHrFkpQWtJvPxD`rOI{rd7 zGU3!1w$*eJD%%Yz6@Y!g@}j_XJI_d2s`~5Nxv`&%o!A2fozktI?z?`!+vs8zZXYu+ zr{!duFS}WpwNUsSwW)hM$d4lkoL+4JrZrrhyh^E}eJN#v*;qXL_v~NG3iM%sSe|NM zkky`9=gWexZ$6|3u|BUCbKysS3JsC<+r{Kc?J5lX{nLMHK4L(sLPmN$0}8P{Zg0lY z(excX7@TVV@v6-nl5+_efT7oxGQVxR^qHW2pRgJEZo)Z%;Ih~-@}{_m_0D2Khu}DX zxZ6mP%bw`(W^JT}6qjRTNYh61G}-eym}@4g(-0au^sK-V&@S2%wTJGBpI{MZG;5kg z2(sPqK^Jyv)W#79Zknd^lrdVL4Fn4IWjDMvojk0Fkfb0)rR?uA7(0FHMRjF-NOY4a zeBeYe59ifV4}nmD-s!m(!MPw?b*1wi4d49&3`QG;E6TK`+-lXqT>2~ESakw)OJ6s% z$cG;qwGxlEZaQ~+w1UQMZex0+F10Iu_ro;J8uWR2_P18wj~0rWUbW7`D@5a^QT*g^ zGiL%iQQ}0W4>ibs@u*G{fMS@}8Xc{FZIq=}ygiS&vUtX%8Z-DnILews|L%gY*u}6s z@+$)=fsEf4H82o})^nboqK>hj84_xD-qEiKqzcCtTAHZoJusmA1~e!&!T zuermyx*N>rTCl(r2;7|4E>s{x@!i`h!ER!=)1HD-#(ne zHD5rj@%{!`)6&@mXU^S6-+%kb+j0qwm~Ru^$ONCCvAwq%@2J9 zqz5!@aIj$xH5K~10(Qh!JdgA@@lciQM%V2iAxt$C8!DN)Fh705nh6rrQ)rNcK%;aH>yWAe!7Hdj1eTh8kY{J_&6ku#4Bz1H`)Xc1mM?$hq=lF00JB5w#m*wLiS^ zDuN4pNK%@!4{Z1<$mPKM9=H@B*W9j}^|atO&$iAT&&rOmD2IBxc_>h~d!Ny%{r_yQ zbW8Kg4qV_E#!!}P0U6=a&F_rh%pX|r;!`=GR6%zl2T43Qc4gCT;4u) zfY*h|I6~EF>*Ml4ETNi*9m7L&DqcuVk-NbgEIXxhV5}B$Zge{h^Sp1KVh*hx)Lwh# zh~yqnG$g=DMz571sUFpHXs>2tCLR0D{fhcRIKrGO6*O#=@r2uouh#X@x6o-81o8o2K^43{#oI|XD9+F0NUB<$R@PR4{xu-*^Qrl zX5s#WpG5!`r5-E$@2IU(S*Ww;Yekw){qmY4tO_qD}EQyV23Hx{ocjhs;< z|JwlE_dRgU7;^KkVnUMPZFo|EDnMKN_J`iG^CM!eT%B)SjGpJAP&f)Rdk{&xLc*{S zIly%bhpy$I5TIS%)#S3O5pD3vc2J3+Du{>cpk8`ei~GEYdW4Wqzg*Fc%8LIF=O>V^ z&2(HfbNW8G?)N8EPAQ4foB2#aoh4qj`6^-8FI-mp0I8(VT%0f7_KPqEZ%|2dNLr2* z5`{eD-^#xMu70$kEphC^guL>{whLH;&>2pCH4`QOm*rU{Cs~YyDM5j-+{AN zXTFQv$QUh zLTA76*T+G_j{VXxR`$lzr51s7!c6BVqFR?|fA`7cguK-5$l_NYjjQ}n_C%sYn*gbI zGMMl`kY}brD%O@G2X$-ypVx@^5@)a5lJ0n+Km5cVfo=YM5Q`Ep;o^%zt!+(A^j9vU ze;W1P&@U@XhJ7KtxS2#6k4O}eMXYVlDB2TL;W)F@=ND5n4rVIGJ%dUT*;>()IO}B3 znmX3_%hKPM}GH`+7)U>HyYY97`s{( zVnEH}w>mkei786L5ou8Cx(NN%Fm-dVuI+Po4L$wxG6nAhc84WlK2 zQ~63P#X0!G-$Zy;bu}C^gYXSSBNQCVhJs)>|g4yoUWEr{6W%@RtX<#V}twd z+1r67{A9VFUyIpVuGPjCr$uHLA}ECs6Myb<#iIS+`Ux!jE+PAEZ z_Wy1Mc{}d0oViJRU8CMS;tvC|kcD=50rkFq9?G9u3w#8tF_X!m2a=J4C1D z`3};FdGq?{DU)rY_5)-&X+dHroEfXJC9!U1KL{)Lj=^!#&Shgd#6qo#-1HrKa6mdp zjS;w_fhxqk-2r`HF=OcBf<;#_NB_Q(|MoUKLO23A|C7DBzdinGSvz;DMp zkMv+Y9!;~poUI((lm~AD1(~qHSeVXp ze^xsb*Izs44`U7QPCI@_l53B+PGVvuu>cj<#Gbb|h#&DJE1yfeg;Aa6Wy@uwN;2S> zV6xS*Ye&C-A|0+tU?GP}o|ppcBdp5zt6zc6XcnY3PAqR;tErr8{HCjwfAJse2SiJh z{2{(vRXLhc09Ra5QlP_PDQf?ReLd%@Ki4biGc~xPId~j^j~zuHAn81{*a56PC_(&w zN5yE`J>i0a7hq%ba%7wyDcx9UjJD%1u>Bh0kp zcy!R)fV=iK^%`n|AO!T~q?NDY-S^b@Gq*#0rKafyIEYffM}3DK)fRaS#Ix$bH{ahD z>BJ`js9@dYBejs=C$hBe*3Tb*e2IfwmeC5EQo1N=< zs|Lp2gAXrC@US}i0eaH5(dCu=$5P4d1PObR^AZe8O;JV31EFBUzusbm9=BFe7p z%nH#~dm;WhR@e?t?Y4PMK8GB&PuBZd@%otGwC!_S_Gr{(V@MMCTN`sNH~=TjwK`*@ z6CZI^b1uM7+~P8DaWG{~hECBp6S$Rt?ReCf(Ln8Qba>!s)W4UZLXP(H!#DFa6Qjbq zB4aB)MVYa|s3~TRC&$`m(`wv@B#}u@VEAnWa3FXxnNd+A*C&?cLp^Lr6cxyJPg}IX-L5};yhQv3m&T?*)%&f> z9zh`L3pj!o4O2>)@Df}5v+I_boT&?dY!txEv09>?4zq+k%G<}-C#Ive+G(| z&XB{n18OxD#*}N|ys8X-{k7R`@EFtZV52}sYgV{Z1GAU*l#AEHzWZVG-X5EK)L#Xt z9LiTQZKm+~#(_Ktp_$m6=bN2gbT0;seiAOlWJyekI!{TD0__z?Zez$uVr@*Qr9oK86aY-o85ff;+ddD0>R+K@ z`j&-RKN*7f)>6<=u9<|Z;?T~b=Z0-6pmxQkleF;7Jx#cyC=$c_ExSOR{ue6_ChMF@ z*@4+<_POZ69|_q{#m}Rl1*AC>9fDjS=uW)IVkyU5u|mWtPy3W`HyP`A4CRXP3e-@0 z`sik^);Bl<546UQyEi)Z4P@*0YSx`}ferwo>i#SpOYV;e-l=*{TY||PZin{ zt;XRgj@%fQ@-c8y6lRS8^!^BbTV+)L?N4tNVGr$oe4$DQs;^QUFPSL-zoJ?RK%eTBy_u zHI2>f%RU=wkawgOE(H(%Zo)8AH?Od_7CBkxlYuSA&=C8C>DHqLVmHAzoQockM%cF} zuG$&Rz!Uf09iM*o(~|;Iw&Hms$MHD6wahNTzUiR*k-FhHa`y3n`G+vnIKYy1V3FV? zs+!?&jsa~#-a)NcWk&67z+LWkM@oQGAy$LEJNmT6aM;xnzkl+&7P%0)HP_+ePm zwtW|$hu!j~zIUs(;1%1tIRBtlx9%a4{$4e987ztVG*KFy%PgR=%omUj;;77ww4G@0 z&%V5lU5hb4me;NhIZRRGN|`)bz``*J<$LV55Kk<+|0CojW!RNyb#yNG0iud|QuqU2 zzTcaUN6ne5To5(IDTn9EM?v{`qIpv(j!@kZMgFa;m=X9~|L~Ul({uW&-Fa2=Y=@j6 za7=KRY(CNt3`c?f9q@pMhQGJ;kU(f6YfQn+0GIm^C;oa%ZpXT9P}@2Ra;rvkpjOdmE_ff%6Hv#Cd#O_ zF}7>bPaXEl^KYlqLUE%6_MvED-t-^_u1OtV>7B>IMOQIy_aGo}_1alU1KtQeu0Q%i z#E_Gz^cNiOHjM_CpcDONx%D4V%k`D(9d1vydJuv3sZf~?RhH0>_CBYN&u1b&p65>F zwGi*oD!*+b7Ki4Qpkq88xs^78AKGbG&^+EWI88`U)0V#)-2{sa591yT{P;L&t#^lS zR5TQ4XKcs5)=!<6!3dX7u(@fS3b?z_Y98r%IVH(7U+~)G z>kzi>yN1`Q^JuD;^kI}r!n${oKaJB8I}S&)4gV&mY#m1KpT$(d=?f#K9|WZv5?}H7 z+q*Bl-zcHwXTnWMqK_bT3wwd;M22`n#4f152fO5K$DJ9P<0}!(8Q6fUxvhyRWbR(; z!I^p9Ekp`~+idfVG*XHzX9p5spZJ0X zSy?UdQ>`WIJE;M!I`<)1BEq_g8xGEn9QsB2)XD2o(YbvBNQa=@$2w}$aI)&~Qj#!9 zbVvqEJoqPGz{DSO;=^NHPtBoo1GLD4&{Q+@0#+CT(oh2M$5Ig7-+3L10ZFYDLcVGM zIGp9HHAc;r6i#$OIG%9&N#Y^;NO`Sq=>`Euc#9i({${!%H!cO^?|@~0*_QB?2FVut z54l`tZGRE5jH(TiK_AtE1=W%iGHX%|M!za|9Ot`M@(2L#$J6uKchi+?C)%-s_IL`@ z`2LVbcZ79ZzU!Kd2~k$)A{i)@tPOz#!~MWwl~hwa-XOJ@@<}SskQC?I&>`t zNQHhFiq7+9&{UzYrx>aS0IjmEvSl%fKlPl&J=>*Smi((uu`|L!oD|KU4dMHafc+5> zCb#&E0kJpCGlb}~Y8sp2ec~a~EL4&deX|xsan#R4oU0Qkt~Mq%Dk!`>LTn*u);H|w zJocwp^NTAJ;QVGD6;h?&@h6+lzjIX!8>&X9E+4^fBID8MoM(GQ2hXy3B}6Zs#k9We z@*L09Ii4M_K|W_a>md($K1?^#(7p@XSjoVnbC43bi(5Bz3?+o0Je20dqY2pe$r zoQs2-3z;9@`||pwv@wKl&<={in#8**htg&JDJW4K#1(1Jew|(%m(x?Ac3s@nq~&Fx zBWi+H3H-8#lJtuNI((*Fh0e?3^`DROjMvH#X?--^xkVX~cgxy3x2;d0HhlSHV$qBH zzKL`IUq|IYVzmYgxBrMX8>y$pOZsgH(zqg)71i@H zr2fOZ>QIOu0D=O=V|5KKfasr5DqQAiK%yYHu_4^9Co$$~6@q({i<;C1T*wD&nJpXq z8&2wd((WJtiIvl0GWQ$;kcVt{#Cre%^^qT7$)}KW8#tR~?*&&+KUIsj5Pr|&f@W=m zBwwzs@@44Z&802KqRx!8Y%)ySU^ya_?e zDT`HBc(+H%Ifjql(@Ml)L?K zt=2^2-#yRDY^h5?{{hq7bvjeeM7&X$$E+AJ_iJ&fnHwe_`HoftE>$m3n>{k?CYQ6J z%Vg8!?D{P88c70;2pDk|f=lCfUV551Ez5zvqhia&TY3Ai(@vdvh9GjLen@I^u}mK5 zmUFX6`ZQd>_E(10n<=BVzAy4PauUtOYNQ|kn96rWC-d0Z0~!@z<$&bY_xOp%=rm#! z(F?$c4vYV|Wa)Fpg>X}k%^%WWx0drn+H{y!@CzfyS zJ7g4Q%S{J;2jGu!AL8K;#BY*G7Sbb`S|h?*0#aLJ+LNO7z+dKCdFnaeXyIJfg?o>h(_@0`E8 z8NVMmD7Ry% zd>70|NGw0UtJ-p2bNx2w%E~B^F5ak`!d3O#mP8qmz+q0EM*L??`PZYbPrr&`yS`5? zcmDV$qL{#jIV7o8h4jVoS?FQ8>zv;olJRF(N!5F|#_^dzt$Fi2zfqTUE41Yd#Qe7= zM^hp8>zYTR_W=f0kZnfw&sT|m(p6=RVI(>%GiD@y^PV7EpHvX9ww_Pka$|}2cP{ic zzNCq@B_2H-)bFD*x7F*4E?) zrE%R^;4E5nkDhvY?Y~^Fn`hpj;uY@6V{j?0CRyqALBY{O_loYH6*NQG1;#&^KvH+7 zK1Nyb_>ZnDcIC2z7^GwsEt*C(%v~QXzkPODNM%jVAAhDPJK5Y+(mjT+A#ctB$_>lB z8n~;vYEBLJ>HKx`zfXK)fpNR&Suwt#a?Wy4&SLYa_&P{%Ep*U#oF!aHi-cE4b!do)5Xh-e9uKvs}gP}DA5s3IIeT4f`zX`VQB8*VCUbcNlA-uM-317`ic-8T^jEyg3bEJ zxYBEC*=^cXv$eHdw?g^AqI^aK^N|E8E8&(7@LwK|v=_rXl^-3GuVkoGT86 z#Fj9!ibr5*_C7NDBg7hm)^$kOB6)`Ql2NIL6ku0E=6@{=dV8#Ole~z|FasNse<$7b z?I-wNFUVA252pSe7Q_GC+mxxA=Js-fOv4d^#z5Sob05|}>9QdrHLlR$LKPvXy`_Yx zL@nd1Dwn^~!KV}0^_ZFXBYj`%o$+z zo$#ub+rC(o^}e=%J(c>WZAR^Nevj(|X^;%NJq#MsU#Q?oXZgytFiFPreM&=5^Fa9r zfHXaSvgVIWsypqR0R5Lh%l>yHX}~-Lj#(=O7fD2bUbn}OWUH;uycUznmHOCS>{BE? zMZHSYVF{e;dZ8s;<2l@qL~9D8%*oQn6i7T-!&vCAlwyUC&%LRHkQA(JAQPXsE&h-4 z1fPVb4w?Cdim@ta0U}4fY0Ob(;c|2-?xhRE8)w*8Xy(`L!c9z|wA00hb!?`E40 z*}Fon8LF-kiv%_PaAFl&`Ig4#ZmdG(*e!RNsKllCv=9a3yCocD5Xp|X9c6Yp4oE^R$J4p|`H?rQ(r@BST!15!>KGx9h=ili3w-_tc@ zeu=lI#kDU=Zo2GV?7u{6;{D?5;aq3o(4|fm6G>6oqoqp|1E=pqs0d3PBe;8W|048+ z13pG>m?cc^Y}ST2?Xm*v(pyaDtL3gH@s|v`Y#PZaROFt#xoUr03bTj3T`4KVG(!81 zNEb^RF#TGD=e9UYm-X(abCNln8bLa{2HBVkljw-(XYfdq>$>CY45()9F7J`E&dp7H!}%?Jkn%ueZ}w_kPtSz z*S1)X=VMKqm~f)>KET7?tV{CI^rTyl6mc0Vu%SDKT~f7l(q>?;x(Q%&Ep)fojjT<8 z<-$89b`T`O?oA=D4TM2!L?VhQ-e#ifU3wfIST&Ap-{vl<6`DX6-`w-Usx?0uS(W=K zO=3jRP3J&94eJWEMv7GvwbElEkw(j;ht=o0C?bMnen{H?@Z9cLkeAV}-FU`&(f;FB z33L8;Na05)!LP!k`EMs$&;Cg`JjnHgaF>`SKG23b$81b(${ngFqJq!>+Tio@u8xYo zwLAtu_0u617iJgXJG8^Df1y|0VdpkRl1FYatfZ*N|BR+J!izf@oc7otE!bTD7+23uN@v zmUH!Mqh@JM^Jqau9RaKI_J&`1g-~*4F9nDb2d?nMH9uINQ!28-5tZ;>Ax^+r^xng$ zndMM{3d=Nv$BzQKm+rq~_)vGv1vCZk4{SGG?~2-yjoA3a(K)hj&05mqylUK$!@o6=GYnpzMwfyb#W_)Ngbl_hP<@p+rmcZ92rkUKx+A_>m5CI8rG zQf<)^N(|enSRI(r=ymIK)LN~vrOArp{|hOTxirR;37Vq*8j90J3ZtCLX!Do%rgNtv z8Fq207Ng#_cGOW86?`avb;flj&;=FmZZ51wLJ1z@kBWQk4on=f@MTc72fmB&wE_14v^S%U+4_YmSL7;6Jcxb z6I;lK8>}SE4&$AAG%bpKzkH#Xcul^u|GSU;=yZ#PAIg^Qf3Og){wjWcCB#=2h3)5} z{xO4$!f`)gzP++F0<^gGX-jSr76g?{H+}V@tAT!7>)|s5fij?iy)mun@)a%4c`KWL zy}^>+u&Ptjut9}DOd|e+tt3PR%tWihttrtRzhap)cnVyU{Mw zp{)1Cp3ff*-NUk`Pmdqy@>yi)<%^l#!#Yh0yD@WsJon`NYx)rr(-6C*KG@|5TFkPM z^R=2Kp~@))9m+_J;BgT+dR%@vM)dAl!o5`a(KU?xZ0(81X~ibwDn z{s;5U$_9>B z;~MyqKhE;UlN;CM!@s16EwEbbZl! zZ@Mlu7#HA3A27Ij&FTh@fnD$?*ILVozztlWEk@it-DBq=k1N>*!~p8FAQDg9i|_AvMenj&o7@23rEyxb zdC{VlBsl2TIo0KhD0Z*vnu}j)wRY1$XdZ%@ze&nY>pO?Z24lNy0S%XacbR?Vq5J=4~b18IM8?#r!+jxyfo!s z%#8_7`fdhe5C+}COz3);J#%}RDmmU&BKimz`X@Gd-oUJtF=1RP@?THVlGa0+Mi~f#{gIJ^nWN-iikQ9up_pCsh9!Jc2W^@I+Y=qkfg#AFSZ5B z$gt_RVNPBj2q6UG`EL{}5)*C2lRg70!12*(`$hpM76JJAM60E%wVA?6^YUpt$Nr62(veY=JV~7C$O1~xtxp<-JItf zS%(`f6xWcIS%S%(<;!&X3=Vyk%&0O3y-HUHp&h=z;oQK4Y4!_F-@j4=nK-1(<=N)1 z+GDHFz7O|AV+ff9le)wYFEy;!sz8vVaO|@k>vUg4Czb`Og$m$U;cvkMtBt{Mcb>T9LR>GWEDy35avtwLsixG=eJu8nYf@F)ye zynxRDS}cx~_yTb!)iH%LH&vEfD ze#B}vE&Bm65=EZv9Q^ls*D|hwR{hTYQT$sWe4~gF8pE1evjmoQc@|=@h9i7|5i;y4 z*O}(sB*bk7LJ{5=7=cR;r^Ouc9>ht`0p!3ECt!wTYxpt7nLAeVX=~@fpdM6(Hh5D zqkfxQ$j2xR06UJtg92<2>NIKtoHUrJLz&8F z!~OG<`Ma+o+hbAyaBkqzr_QGVXxO*Zrim?@R?9HFG7Ul!U(0J&k%;YBIfToZa0`HT z_lDt8v%Qz_oL!XGoR zu=a(gGi5M+Ctv*cqUV>*>V9_?mA`WuJgaS5uQg~{!{7LI0K*I8*1!ZD_sjc#Zb_8h zJb-i$Vw7s~Gw@P1F0i0B^R5=W(C_cS!$n${wAg8H`SHT;*I#Pq^&*FPte;fZn39Ob zMl~cIQ6A`?v0H+35Fw6|ncgRQe&D;Ps!0wRFR4*17iSY2Qm3)_r0kV;*mPq4mo_vb zt5jG-<~H z^mO}FJy&{z1ADDwE%QDCc4}0T@Em5M#u2A-`*2&hsM+1jelA;k7ODF`bJ~Bn4)wi_ z>=171#ERg7JboTv=;sb{HZ--T`$hpmT74Y6dl-SMC6qli>anjiRw(e8x&{gQ#`pbS7yl4bCz3AKC~ z#d>H`5%>^F2oYEi{=i$guI`jDey-dcf+<*r%HA)9UUyXJY|YmBy2!-D>J9+3m$oymmdN zEqv#LMifnn$O?3l`?dSoAY#^zzkjF0D(L-|>EZ2s*`o_iyUX3zw%Lepwb%7HT{&}S zy^TH7@r@dojwp})`?OY)`FXc7EHgILFaGCDGO(Hr4)_0s96p~_O-bJzHvwcQ^J~i6 z_@(cepLMc6AioH@*YxzhTu7l}ntBKyymRBx^cF|@i(0;eJr_v^Yfx%l4dj?AB!yMU z7}WPg%XZ#IrnpQ@o<6*S|mY|hFnTWP3XM?UwlmaU^l_(0cUTWC9L zc_dMR5aB~x6-f3~C@oKLpR_E1tU3LkgF5)rf4oIyAo0`~0D(1;m$UWyfnCidjoMO0 zoxb8lZ-$|WeDJXzif1qEmU21l?`&Teczj%yX(`7WCp&AC7v9{vA&t+;872$^Yogv3 z2Cml*q|7RgStiBWT-4Wg=C>odq+7xOO+@&oKTrRW&#&MoPtSS3^3k3&3f5((DM@y} zBsEjwR{*+3y{c0Yp8U6N5hBx6J9J>%H|{P`4Gkn)$K%qUZZv^5?Wucz)dK|1Pi;VtkPTu? zq|z9+b+nL7NDcQNw+`YR0SH~7!5W_S=A52fqK8Y38gE_P<66Q3$pcEG_z>VJBu zin4n)(qCfIF!zTBXx7mQsy>&BJYhS!LY!VSNU)u2K2f6g+6DcvZVFJh6Q)OGWBv9f z#}BAChgjJt9-jDfD!#seP2d}kE;ij861;xSZ=?NL;h*(V?dVlGm?M@K7b$B;4z~-ZKm6Qwd`&eTWmmdLQ;wVD$LVlx z!tOEg*vodW4Xh+A@iAmC-7vT#hz4%cNi7El}-D7K2^Zie$+pAnFC+ z4Yt&&b2bfl+&30+4BM9_ot2}BIRn#NEm`^$MTk|Q7;TN86h+GRg%hDsXd4{C_`mdhZ*Bn zl39$kltZZAP;u~w>b&75ctTk3A|~qc@=efB`GiWEj1;16;9iJiXTZlK6ZLB?PYjjY zf7%DS-kSir>Fk02q>=Tf!*avjgOGpWLu$vWpVm9WDlL?KF|MO#w})hDO|1v%jo4cNF zF`Rb%?W54=a#sjjfHV+7r&eyT!?SIjTN&VU#p!%xO<> zBU?|5zxIC59Xjy6`6G4iqDS|$e5J#?G=$_H>(;&MrLnK)tt_+&l|Jq+@1%e+=W8m1 z($A&or*XMhx3b6MHpPQ-#HYhD)KTSL`bf$_06953y`41bWxEJsY587$0Pni zKn`PC#7J`W?%|&x$c^$R6)~)%uFBO23SU$Zk3CA<=SbwlIb`dV@-cOeH}-@;t-2^E zRB=7>+n^f#w4k*4-{HMojXVqbgQsS|LAwXXFjJvFRG`*DXw)h)Nzm=f?{;Z@m&N zUv0Mh!ToR>PdI|!{>t&|DY7-BqGa~`olrg+KxcwUCk9oL>K;fG7@BTEc-61CIi5VL z%u-5ZSb(Gr)(VRphgr=E+p(F~`=Sf6=#LsL-iG06z|I!U+vsU7r1~~3r~Ux({d)KR zzP$(iYhJsvJu*ndOU1kuL%Sv3f?a-YIsS(p8yI{KtKTj9jR}_m90IB>O?UBhorsNm1LCl=t zwxzm9J{Gc3d1|menOin>dEw76vh9V&*}O4N@3-|UIrP>L-Vsp>s1;b=Z8IY4FS%X) zxUg0iFt>S~C+6kRVlC_E>DTXpy>O-DFd}*RK^`bD5)nfXQKWj9xPBx=Y##hv_QPZU z2%p5*8PqN8WQo+L$lUaj^DEWhLqzSSX$*T#Hw5~bKY}5Jdg3|c*h9&HNy1+ey5*Xo z!)*-n9X>g?WW1^zrpu}6xx>!U&ILqFZFjU3*672~YeWW7@_y=3Eq|ZR9`n`B+>+LB zlI@P8P2RmT1v`7s4c(3nysL>lx-M-h*X&JAKMoM{PCbyZN;NxRPd-)H{NsCnO9-`j zV5OZX{;@n)q+KXKB{L&#)*^GLu4B1^vJ^|7LFll~|ZN?iT#~#s4<<-tJ@J~M!2?zpu+X!^^EO))H@qBS zduojQ@nNa(F*+>g^qgiI<1%MpGAai`NZtDGPEr*Qu7!-QMnuL$Iie({eoa z^*-LT02LI?NPDj4b~yaOZ1AFQ;96|R5HL+MrjTa>+?kf%XCVoGD6`m>g?a|!en|d` zUpKbP{~N9HhXzOMQ`6}2#a7z*z$i} zXTBy^CtTJvKeV}!IL+;}<9J`&s`HL^LdwG|Luob;GV^dxW^>BE)-Oq{k;loE@^Gom zs1UtaylPTQ))NtcI4R+bOx=4O8;JbBIWaVm0sED^zo`gkcw2zu zNfDSlen%M#14=rh4r3#AsUqo`hN=xB_CZaWnh0xj2uy(Nboba%8C_^W9l`d24zN6I zM*6Mjn_B$w_{&@mprtBxgCU?jzzAsDssQU2w>Et#>;qz_v{Pp6SXHO3jXxp;&<#~! zKfWRTzjeJnYW@efx8Br5;464w<~o%b?~RwB{ZU%&aKANwC@I@v_11*Qjie2~*u@X4 zt@>KXWb2Z;paos3VSmj}o#NB(mdsw`-Ba~oq%Kuy<*Cp@MB~vrR1;w7)1l=aS`or1 zou?sJCA%WpQWxr^py0X|89VQREa?U1L%|FmBaxmvAE(E=DHc5^tvv0Him1u3A|6eql;<|}ZnX(s`{egckTMEbJdGGZmp-V{TQ$1WYh=zey*km(} z$H{M5S=Oa*t-9mR`0b3ECz)Gm+evabo|saFkMgV{(wfW%Z^Y)Tsd!0lPIg89@Y+Co z|Gunw$)f;=Y`aRkT=+W7C#&Ch=tFJ(1|d6%bP}MV)c6mV z4+fDV6HfRWM;O@l%TKZI6V?-RHI%N_0_#t|75b@S)HF#y?q$~H5Z;Tmjmgc=7nX+7 z3|PrXjbKRI8P62SK68=RATPEvlBCLikNzWr(tVByuHDR~@0x2QUZl#Lu1e5h7;mF!PaN(CuL}5|=`-rNEw{&n>ch;YJyF zZfQS#tZ00$O=T1@jGBMYb4JnwM2=EgW&U9OAz^S~^cNu|7Lg|xNq)we;afx|<_un%cRyGNiaXJczeN_AClu&zo`S%4|D4q#<#Pc>R6y%)8mRo^{atr?! z{~-a>y&%YbS}e@Z*s?_KXm8MVTW z-b?xxe}vK2W^1G^7v+9GIl?}arU+ZcOppljNyXN>UFP3jRmXTF?;pANKgBFw4yHhc z0TTCR4_#dBx@9chP zh0=BRuK1QA%jrvHL#e~@>>IOgXucD8(Kj2~QVW`>9-?azKgJE{hX&jb9ohvjGKzM| zC}G}py}aOCw2c;s{v*O=VyqPdPdT;l6V_%nvs{nZBq9@Epz5!OPWa^; z<|&sfC$W=iGZG=#5QU8Ktrt)c1Y8;TZ(~#1FP+5_K$2M0J3jV};y6#dliyxc%T34U z_4u!32eWFi1I8ppuX;|Q2R0nNiWvnE7}e%`)^LDe8XG3}v_cR*IaU9O8?WIr7ZLp~ z#I4_x_6fJ&2csi5!Ot`V2lK}P5{<-7-wS4{f5>;J2O!&O%La;Z%_xQe5n-eiBM~Ey z-(KHOh&J`=C}dsMS@$d4{JATA?Q=V855#t=9#Jp{*p;gbWOms|s>|*INhmBshv^UF zaXX_!L|A%6q65vOXumQ)CA+SZU7@Mh4xV=%7k2TnMCMFMwQgY$QK5K}($?*(@MfSz zcqTv-v(GvfZ5gX%yH<^Hn#BwxSbhp{YW;?uO*}4AKUuLW2FBM;GLpgw!-LWv?^5); zujf~7P>KcgUk;O0zh}axStkvLE9w_~=G7T8d)WwiPmHlc1~$dwxOHYl?Reo#+3nOo zRnSS@9e#S&UM1Y=t_TZhI`^{No94i2 zirCeADDte5O$uA(u_m<#V}H`BGApU^s&g>dCHjPM9Pe3p<~N*SXFfYDabcc;bioo~ zZ{3jsJQu8-AlJuu7?pk}OwyrMKPR}LdgO4f)DARcM1kY7sY_E5jU;K~!uMk$td$+? zB*uS)izDSsysO}6dfPmpx7KlT=a6o6dbG#u(&q!NUdK+MgsjX5v2`E%U(jBX2r>+J z0=}}9^o8I<|I!$Z$lN?9A6$(tg%a9sv6<9;DeNhZ<#bE-9oa`N##uY#DKQfcKOB`FRCwSJ}jd zvQIy-pBbi1DjwKFF^Zm16T@Pxa=f+|2X8UW6~&0;4--i>`D}N~(F<%D#9hNgB=ryp zDF)=qyl`T&Tk7fbU}2ukn*Vsg#%cWi+~(b!z(B5r-})=x7U8FFXb`{i8g5Ld8_=A< z!0~$YVYPluNCrh4wosBZAsau)vexP`$=3EW9zMRLoOgw@2#WDud*pu zyckt4ccef!UGMaC(NjfJQLmHYeDxa8SQ+h*R9PnL!*b5NhWDm?*W1dIR#9lLbi|&3 zW}hzsw9=2a7C7li_&2h!_e)8swcg4Vn*QkiTd@-3RTu`)VxvB&;pojBB@Vi$KPFwz zKy)ek^i{DmM<1;ZmgfC7!YYqVgmuPorhx6zK5c^VbRYy9!(SKWJ&Vr?mQeBYx?Z@lByIHlDmMt+W#5SI zX%c<|^_UyPqxI<&&xn}7ATqU)XXZwci%NzaJbQJKi1h>a9AkuV4R z3F!6oF~tt!k~+2YWKJVw7JDmVZDPtFV{p-{N8GSPdF!{vc-!4nblK)RTql4XIt>FG z0-3=vo5`S|T;m`%P=l1_tv`_VhwhHK{N6>pQaah$l@{3g5wl7C3jk{GF|3OX)4IK9V;n0jq^4VxGv0E@N_K~{tS94&r)X>UaG<&PTqS&c-^{I%C#(3ziqM?euyg(z? z7ESI*-M=PGQXGqF^s6!%SoWj~IeytTs@@rJAXD?RFK*3TN9WLd($K|ft3pTmUv@W( z+#bC_$^ADs%A$1q`JI2TPkxNbpI?Ey3)#wSnq32v9|(b4JpCeh@EGag5J88W!u1)g6x0a&11wobCi{vJR2Iw)nuyE<`}Qs9Sq0(uj3rdY{D!r8 zw^()hG_~aW6+N+-so;`}V@{{K-M{ZJdV{W9b-8s^(_zy1GL@uH%lwM=NmGmzMSGMN zF^?j@8#Y^|0Zu@Szwl*EL@Z*bI_#a=YYHWVk+(Xu$i>fI9SLsE;^;)jGLdK1F87NB z%4Q{vnQ17oA4=L&)9O6p{Q9NUiXX~8csSaC$5=HUm})Y+S)VS(ZO(ttXN`%o_;g3H2XF;pINS_}|})kE{Weh*|m7N~PL1H5#hZK&Ad0NX$oI>r~O{`*srVxw_&W77_Gzol|8MNT6Ix>|GlpxJzd6i?Jg3B5>a2nDzpybo=M-uhn2WWD(4wof` z0Pf#<^zjtCu*T~TXQcz;vZX^&R zUqDhTL|_>w)WAhDfLRiG%e5-(5{d5&^hr~Os0778C(Vk&i*bL#&?7n7fm_y~1vWwv z%sZ7sbNgK9&k6~HNus|_1BoW>ONC|MKKibnnNz4=<%&$Snu@TT8Wb_&!be=j6!!VN zrMi{E^zgn|_zzl*3Rn^TFCTi-`S7;n(Ej?aJBV#MGW)E;*3L(dA~Me7!nr@~e4rAr2o z@J`MU$p;TB(HyHJsFa z7g%ky>W-+&WBL<5?_BFt?`G*Nh8Klblm+h3q}3_gf2D|%L5>sXkP^2)TW?uXW*lqK zpmkOI;f9NqFc9pt94hmehFCMr!kGT~pJ_#D<~}sXe$7Y!8!$a#5M$#_W)Vg@b{~*9 z<;hA0`;!^jpi3cNaGzg&1i6|&{}f^YEK9b(x|;4CYHZtB+SmndT`7Bf3v;wt@^V^! z6!2n{ta~FGeevA`fSC9+EO1(miCcg@DJVA5=Nq{uJv((ZSF8F|S9}-1#yfB$ZQz6^ zBlYgnhpx|yl(c4kyEY~nWyD!c9hGnN*sjDf_H|ov*)yOk_$TJa0~%r#viCD^K6sh} zxtR~3TOzKzr+P5Fzqgoll>QV+g%U5Zo2|BQJ_Rgu3qS(H z2gk^4GTzy|;fiX9!i)B{3EVJ;1!(qwbA$G<;by${Rb^FvEi=0{$<_TmJlhgev&`NgSuha&wSp09VZ+p2%l5Ka7u_Su>JtLRzV2RK(o+jDAyBB`AUw0M|e%lW;n zjoiK_*zMkGQHHkNZOj6G=4@?S4lC@ngrH3~hI9H!f5tSfoG`&KLuTFixvmG&d{oD-pKnk9 zs={Zr)Sb1@Gf&~@Bc!yM5BY}~&de8u)^GUOaI<&$HCQdAkH#U4Z$VH3s;44?;F{AE6b6 zea*vE!5(*JvXdL27kO1OAY79){59aZOx)0uK3@D~C&E2(s7+QJRjQr#GfJ2phqXQ6 z&7&ao1n4M#`v!JP!AINDt7}F-6T^>BQOg(qCJy=yb|Z1z-s&3c^L4tNx#ab6;?hy=1NuFont<|Gl`RQI zT94WA4xkB1r5^sYgY(jmk-!}wZ9UI9mCdrdx~>;00y8u&v%!X8G^MZdSvJ`O(~?X7 zt>K}5k&>Fd@{TE2@9vs_sd}oPnE^$P6=zI*!bAlrB1bRWBhk%U(hGx-jMD|Z3D!x8 zCfI^zQ(AaL?cm(3FlJn3^Pd)5n9u(I*EinT91vIwFF$E-SR6K;db=q3zfNNm$dENy zTJiAnla>h(&(b_Pec|7#qb@zX>D7_HDUq_=p_w^MK^(;SH z2DAVO?tX2n!k+6vHNt1iB=i%TH1x{6DJ;g1o_pfcFaWcj?^2mwv`-Z_qsr3q-j&2t zm|#%bDRm09re#jb7j*FXN3xeqVrN0Y&G|llbA|`MLz|lWNRLiRJj+f|@3B!4Wfw(O zGHU}~YuO9s;j-4gOE*9SqK8j-`!XRyQ^*>#=2=5z_$I4h^|<6rJfm{`I zES3MWn_BecRH}2Hg8@%si`<(ykU@v9@8M z37vjDPYhv;vfQa$z8P{5Qfm}j4huV(X-c7ca~k8n0U{ZFC z_>G3g1POuaph}clc>;WoWr6JGS+d9e&~4x5`Kqb+uhQF^)4A)`y#)2E!n)OXMjy#l z`t|1hjp(LkBL6KO>RYd0j2U})9yhMGHwE23zrFC-OZu`QvPzvqyM%wyW#lq{*(^56xTv-06*VGGQE94b>R8+LP~79qdu^_#aNVorwv$?d6LB*?lx5%`0m!6 zGm4=Sqdn6C_Hy$cGY7@55KuPlP8@$F=kY`qk+ehLB=|su=dt2^W41 z{E&p;-j1Ukl4wlA#pQlqXy`b zVIi0ysyT3ti_U$Ys=etOMiL|wgn1D}>blNHndYx+!gz^9_5bO}ZGV!X{1ZzrHaG;2 zpIxh7sxcgfqrHwTcfV1l2btYM?z`SR)mPM0B=vcr z1550=h!yE`TFVU$K`Q`po{JNw67aWLU~}DS>o5H(>ZP%E2czm>1fHY(4T1gV`?A}~ zhawJ>-=e*(e1A&lhImKf+TZi8`jw{w)k~2(PZj2)W4qPz+o?!?PrQ+-l^zK3sG4~d zNi8lsb);2>grZjeYYZDBcZdaj)X%xg28g63sQV(L%Foe{UThFMGE@DC^IxtaOyKa? zwWoNjK$2H2Z=75$A7f@W_-LCF9VUuJoJ7Yhj0oy9>NUM#oM7MP`xH%|H)cj6P4_#P zNh{sr5=uF6$8EnR&K*L0@~S;?7=_3AQ@kb9`IUgqx*+w$Twj{Hy;zfs(RdlGD$^ad zbF6LnprVoA|F`|Pc>eL_e&|)c*jkgOm!!!>a-G$+UoI0#Nh%a0dLo>>e)#J=m>Wx# zRIHU+eWCVAuHECvlO4qX{wt?%OVPJqax$tHxU40UCxd*SrUWIXCneY4l1C0&+RShf z@xaGYXk}icfMj$#bHnz5=)Ag=mpYbZ%)J_&1_q|Ot@Tq~JSPrI5q4GYlDsMI?pvV9 ztd>oAUvZhwl4&0~Mph54@2O+8rqOf!%R)|)_kpnQ2NfQeM(7z zC1;)+Rf#V+cGNWD#AmqCI)=u0*aZ&*wagr`9yQkat;J$79zMWk`f!aSCZ!Pk7!u3e!S4mkEUXmgQyzR(| z=LbclniHWjiA=ys zo{1P^B5cW#rlKJCU{QqxL~Dodm%t_~*cAk!ly4&k@vCKrj@8rsO)b6NAAZ>7r91D? zmIB>?rraJf7^wEvOL;1x$p^xr=$=A$)FUj_mCMGm`q~^mxbz>H&UMq|sZUtQclng$ z^$ERyOhwAGn{!PMq~PzhE)zZE^1BT<8(wknLAj$oUjP6M?l7jQK$2g(Ys*6rJF=xB zdE>V+`@+`kHSwbct8GRq*hyo?=@xMpLk`)2MqF48EUs$8T;XI);UV`xY&Wj}$YfRc zazOuqzQ~)(U6Iz* zhFCc>%IIwBmek7WD4k#tfLwB3kC4S2wD^WbCq&SL8LRUTv13yBIP=X|Wq62I-YVx7 zi8yL8y|!`3JRTOP?U&~-f7u8Eow43p#Hlp_TAC^}*m{;knUbn-PUZGIjv+ z(aZ3z=GGk7w^A|WWI)HsatUL?K&PudHOHWH#afbMx%W5r@jY|{dY zR6rykYzMHuqUnZyR==`glqO7mP(pW#>jy5uRYA{`Jz}}=_?JwLQy!4!;zh>>XPDMS0tt^tV;r2v`!3%9;;+Q3T|J{{F zkhxgvztzM}vR3hZ9*VJS5Pg4M1mhIANbj+e+UhMxBq|a%c>#~a)ypSa@(>Qza)D>0 zf*||x6c1kUhtj>N2r8K6=b=SG(jSduln*SyKcQ>_kj)nI-%bGE8Wnht_%Z%zy~u%a z&@SqFddRzRGSZgFy+G_6MGGO=)#arx_x`2Az((S zFXtjNuw8gWe~|nZQG2Fc0Ew21k^u`IGAyB9EkWB;dpX!cORqq~>r*AZ&VYLgo^0Yc zl5EaU11N~)dG|g|VkP)Yc?}I5wbPyc0Dzma!Y_mNpN})i{0%f5;Q@_UEEx z>907h8(-)(i$lhsB%XM#GhFl$#e4y&%?4XqncI+m9&t}eqp%?(vVfRW#KD9 zhwSRpkAyVh-~p^@YAeDZ_#ndPfQ)TqkTs3V!;j)G7GTSD9Hlc;ZTj|sC-tB>o&vsJ z?Go=rKbG~@ei;0q=0g{|L0nsy_(T}(KLk7ip-DI0HtYwugxBm4HsX(Jp1uW z_33+okw|Kw!q(3v8l*OY=fo#Z*Kotl6mvtgm>nQNBS_-lCc6{9P^!xZ!*-i z;~?ee{0Ig^EJ}gREaLINhX~8y8?W|M4<}A1=!1$KBq=A8Rgw4yY9vi={8($OQ9Yvc z!<12MKxuo8QK3t*`T}aDG?0#op`;kwW<+YUrKoU^mM24qa^Q9CrC2iDh&q<+IbH-*Xzz<51w9H#i|3!CPf_&s|d z1e<5<_!(iz8lca=?!?ckO3qYa--RzV1Y#w^6qF=Wm860s+H%X%E~h?UCJ0)ci8!|a zeOM``!?^O*ytjF54s?j0X|EK_-q=42`=)9~P7et1_7~&7TK{ev$G^wf1Z+(w4BoSs zO}&=^-FGE-Ucu zts9MdOS=V_rk@9?(`&;FcWy2Y-{)BXSx`HQd!7{SO23m4az{DsaNeKpcFU<86yu=J zWsr-ig+axc@LV%t>W+yz4DyZX5rOF65UszI8ICv^|T`B%MiJ8do$ zM=g|-i+8)#!uylOuDu%Nw*exHs2dR~tNvdvbQj2_t!^7R+kyLkr2VXYt2=pBaWpHl zm@2Z8X|#vWWWedZcw7JL?Wf@zS479pBo_}&SgB$fa6wFG`}VG+D|GZ_S=;jb~*$y zB7i?8b%IxRq5VzHzy8whQx*kW$ zB%1uw4OzSlV`ku0zM`vJ;PhH|T^CDB)x(y^|IwGuC-`0ZzrS+US&_X28rQN6aTag% zcw{4eKnux2$Qc4(yqv8lP=M+xUk?jpy%2pCShw{LH{o39Njga>QgVIxMYA85+Fmde zQ{=Sczp~93W@X0H%qf7-CiA&eJK|~ak7Bb~^%ML2ue-dB(GJsB6vRl=&PWt6?szj? zXby5Tpg7&KSZ)HkJ!We{;BobqI+OIor~+PjFa8*dK8NaFE2Sy*XS8?s`RKE-?193m zvJ$zJ@d!TYjVAy?BK>nO(iB?0Bosu!zaX4@GhZtJRnX#Sc;xuPd zy=wS_>gm|s4F&_`IEonw0Oimc_2hf$8@S=y)h+)VP#y1Dvv=>CKC^1}#n)3`j9SdJ zY>62_f_fYIxr9i0gAO}BTA|TD+V_B5a(7IMe(w`2KpcZKfgSu|?*~#vt9U&#JOF?% z6^zVLSi8}8t;eF?&foC)Lf#Uoh2;QtKsg??>RCpO56R{|UKzchENJm&ndUPv3@+wJ z>W`+8Qc+(laG&3W0L|h3xkA(pSHwjQKe5CNkib5wTn+md7*m`PWd28lt({-_bIk@V zKtsrijE&)K5M`W-xxo3{mCDuX#6!I(Vu70mo#}*hsalmDi}OA{TmqbgN3yZOkf*!e zbwfP5qM*OLu`WA+!mV~6^TjeA^yMSI=y?0=i1~#pVoHFA7|ze(8*>rI_Ky_n6Wh&o z0IPo#CK?Z4ZVgE{VFl+wcfK?+w4dH{01Wu0*u~5%L@XhMlXS%r=0}+#4#li@y%O1# z`1+K>-z?_;a7iQm-+iOMV}tAE^4H**8gO~|tg8C)Tag(rexvbyz;&N)?t8oM2b0!y zzBE<;*j1-ygiFZcT}zS)(Ki>HLstysnVPK)xv+~vzli=yB<0if_npVlxAU!C6N6qW z$+Jg0C1DxA-q$Rf6Rj2KEq!_~dbHS8<7(7>d`1;Ou~Z`7(qI&!e3pHif`0Q(gy7vM zY&YlwFVAtROB@zu_54UTdXIT|znRSft9vpschVP5FmzcU#|nAG>lahSXh90nzvk3SN0Ek>=OIZ01{w=C~y( zy~Mxe_ps$39Pk33AO~Gitz`%z!VI_6bg#n}trdULBjkFguUYxu=1*P~fm2C@fF0x1 z@JqluG%J%?Llh+C&z|ZBR8rbD4u~&!tqZkS`Iy{%6{tv7Bx-w0jKEHvzn%1W36=nc zC7mjqu{P=L(y$0+&qMl!qQYGlmjx!scdCXGU0LpcEt9t(S6UyPRb2zpo7c+0y3&9H ze;>b+CKJ!>1x2@2Z~BA0$8uqg0~}VLTd-S0&i{^(prh*#cZ`~Sn%AmD(p@}d+U6`y zNh-85fSe<}qy)Pr1$-9^B?Rf2F3FC-3?cpnOZS%-4E~z~wsHf>)RHY=kJx}Pq8WkO<#+!P=96CQN$)wRr~oJX?* z3o6k+wPoAOEgz{ou?KI|IGsy%8Y<2)5k{0=L#Et9l?mkwiTUw9SWu z)KInfxc4144g=>CNd~Z-%dcjdNqQd5R~2)>Doh2R=XFr{v7C`L!RJ_CS9mFl3-ebT zlvx!YD@X96*45f?+-JQ)b2SqVK}9r;QgBE|PK_w1J^Ak<6Y&@F{x?HW75-3j6dTcr zT6K{I)l(Vwty-41be4~lbU^yAVff6y;8=Je=Vy(cCsKXGM$C<3;7^r(6lel>XCqo} zVrI-F5DVNN=or-m2T7%Ps7u_O`G4^?MH#d@zx(SRBO|uCDH(7Z&cIa2wk?+s{;pzs zYKneQeeJv=k8!tnb*v_1=jr%4zUhJcz`21p3MuTRX#LLwEj+!~&F=h*QSZ4gEc!dL z{UM7&$=|_WsPq2p=vjZ}M6P#K@9oU6d0IRqAWp-deu@MY^J%;)Zt-*P&dmFWCL!7_`cTyw+StS-K z=b6yd=XW`BmmKXB{FNl4;17THf#PaAQvi-(nAORg_H}ZDY?#cWADp8@n=klHH2|FF zG`l2GWWb#)J%wM@q|LCFLtX8n!IkJ@o*LM%++nD1BDwk`Hu(D&hyD_;(Lw8yxw&7> z+O6);r(SXY5a0#h*rJM}Pyb@EQ^O2L0ficK`P^cka5Lp3mn2AR5;u)J{B{#0>DyT4 zYdYl~dB8zxMgk|l|DE!9C;RmN0pY8IwJ*uY$zJ^Xb~EK89ypzR_urR=GEV*LPJ3VD zNp;nvO&Giv6@gE~J!9AFvvI`!{$jk5eP_v_#NTSF%j5KoU)I_f{{!oNJFy9z zo1rSmlN-Z(HvtH}e{Jl1J7=>u&)S}$e)9`C=Zf}L<*5D$>-u&goc4QLH+kxxvE*vC zv&g+alz0Z#2lB{TiQ7HdCS#(TZ+zRSlYh38?5dqJB6!9&1T3Y3kKqjqmFJk-51dRH zba`4=DDm>Y(8W%xAj05lg(u~HeLAKbAkS?2N`cH*2tn^+zUBQyOZ7O5{nQ}fa3J)- z!2K&1ROx;P5k|VDNQpIPifS3KmqbFvr)~A4^zJ1wMkz$eBcfPGGE|tmO>p8}j zsqgMRs)3+Jjy|qXoye3$2o=(dIm|)AT#VCe?k=u2crK8#8^SCzf`=)vYFZc<(HQ%X zoWsMJ#eErs&M+prT}-<;zE!LK{QEnhwNdat6}c2W%OC?0r;P8r-(&yTIAh<}x9Es_ zT7Ta2GM!j*YR~qA+uW$RbyF2A`<~YXl>dLx_0~~QMQz{k&?r($ccaqXDcy~9O7}>2 z2m;d5-AH%0N(e)DBQP{W56yeL@8@~H^{w@Nf6X7~%-M0Sz1Ke1^@|9rA(Iu%G%D#A zrc(DIU4Y*t>EpX@%d|PV5gu{iczH!#&;U^Mf0@e!>EocIr@gV-ATB8W^TrIp!CQBv z^Zjph>Z2)DqnHqK!u5vCX^SXgd`Rxi*$b-eCH9^!s$L7x#BUgq!M^X)6PTJ98IboG z`@i6^-eoWJG3;wD%|o^Sy;p7Y0W9SDDQIZ@l7Qc?ahH_suAuK)J1R&JV_WnXzI8Qk za>cN6eir^G*3DuLUT^<^KFtn__#5n=06vXrxwG$wh9ThI-8#%96x-_c9!Q&zKMBO3 zg3Wcp)Oh4*qnpU+dS>&mG0DXhW&2Q*E_E_K1_fO|_b&zlod2pjJ+0X^il2=Z>c}6c zKD33!sKXf^vpdDjg5Jb45cf4XXKnXQ%ST-CtF5Gqh9Ok5i**(~?)XgQYFU)it4ZFy zydmVOo2e~UM%L%?SGdS|=P&m6STEhYJdtiGoO9mEYcd(80FE^>m<`U}tif;kNQzjh-dU`^@s5~#rT6mG9CP;R^LMw;-1;IhyvGYXB%{gGGjiPY z(cDc{5lD}{%H?9v>Zt26YZ_IQhW1EVS6bhu3f-f5qtn}|#Om%wz zpv&_%Y^6~!$5mWzsLAX_gkt}OGPl-LUBclo8)JkGX5mu5U-^g2!B->)V!7V0<7KhB z%bE|)?!VoOW|4}w1k44m0UB9utOLaq7Oea-Ar$?4 zgyIo#WSm`wtJ<>g+tT~d-Lgs3YQthgrO4M;@1FF6nAeG?i#Bm61-Uupd*A2_XIp)6 ztB}U@D2{hNsX{H265%>tk1Q+BO^m?8+y*4uzcXKx&|z#$1$Z^3ana-D2=s>G>^|aH zrG?TX>JVn7Jorzb%>S~jp_t!Bm=K`aMfMZ1>B_JULu_aE-^;)oLK#fi5H?ha&#ug_ zOd2{YYx&d9s#@oBRIcGZ`~nvKFQ zFX@#;Nv!#oOV+>!k;5RosR5`Xi*09Og-K_)ned3y%l90*vuqG2aV@DVzEIGRe|S?- zwJvL5&HxG;yz2OuTFbm$MjqL7_3{|y&t;g1nzR4*SGY7GsJalT4R8-GpFjK_cv1Zy zG{9gwo2RlrVU0&n>{1nzSeBE9nfQuN5|Z03+mcepK;zOYk~S)lH2@FY9mi#_#;$f+ zY+WKK2pj6I2BMsPbEcdu1vXOrdgfre|1VtI@i+R(v;+=sUT+#{uAht6ix12uwPi-X zQ6#Vh%XN_OX;ffs?Y^2^1h7JK(@8(XlxCvS>|Y!N4LrC_M>GhNHrxECz8E8!c+Gj$ zhMh&2wdvVq-7<~&6G%L$7tK_Bh!gI3RI)$HlDh3cLxkN>qlb&|xJ#=K>HoICGmonaxAzKR2ZYd~7$&DzFT?)BL133Xdxa_6Lz$lWy7 z1R%O1H$Pia9;HmK@d;vK*Xt%mrEYw<_#2_%QPR5?g(dk@gvYUXO$e;ZDqcP`wW`|7XBV&U+WFZcKe5q6F*pHIOsP*#es zt-tj+R|>EDIzA1G{83WRrrP&wKb)T3fDnC-ZvorFufcL|W_E3efBu4m5L^s9E<29* z%*V%4JFD2pEd92-r#^#Obtv@;<4d*97HjR4he^V}xnHKU`tw4(cwdil$fYgOMa|Si znG*OA+z&Gxf29~Q-84HdKp8QjC-*wZ<;Iq$qz zLWbko2zp7Bq+YT61Nlzgs1!rz_G-H+Pg6sva^RZN0!UOIHh%7N97sq;x@ZOnr1WNE zeJ1++ljYh-&T>DnCM-G4oVT6NB@ad!|r9zi^#^nTt7!g_49`rLcY5 z*$Cmdaq3r`-o+CHFeh5?>SAJzMs>0AdLP_Dj=jvO z*%0nGBeZ2OhDVy3($IPml^eKbqk^z!-JHucT2nC!9|^F*`SybjcYqS85$m@WV(52) zTGzYGidwdcok^E&zi-C*K@%&uOK#BZ+xTH^>GYgW!3MDR@{=>~0no%=W%05DciMDl z^lf$S57~%N>xH~GAytur^7x2VkQW!TBpwDZ-f;GyVC2q*QY9vNjl<=;C`u4669K+m z7OR(;hx}{vY}7DO`ySB(WA_*hggU_0*%%*ZTHq!m(hDINHZ(zTlzQBXwfAy0{`=`k-QN-AajC; zPhyTtcdU*?Tq{7e{IuknPe0T7L3Y)bs>IS z@3=&?uzC*Lj0@y>nCx64;l1-&?Kqj~`987NHGlmU0qngt(@fF@J@7wAhQ7xf)f0RA za@BGUE+Q5e&mN--jYN%pP0zoMR1JzPSoFQFbESWU^dYZ*ZmEbWEwm4i@r^hRRhd!D z8~8^Cx=Y&^cAC;%RjDmNu#$OHVdvcVBC7e*{pQ$zsjEQ+hA5YKPhT8C(NJVHMYlz| ztM8Hi&u0-5hdlH%SH*2J2Ji$NCfh@2!L>5CLeJ>9NZV+e^7;%IyfK#{eLL0<(c4pG z2tL3^fk?K2XDK^H6fxi~vF+zn*hv;yuFiAz6GhCv{YCZc4D}vVSehi_Eb@OBAp>X_ zn2bCEEtigH1kGJ8W%IWY5bJRJxm%Wb*vVjq^7yO5;T((Cm~1`tyk$s7Fm7?2H^L=1 zI-A&-P!}VKMe*-qDMUsW`nqsio(vb*n>uP+RQ3pyESpq1BYB=kZ}$*$4u~G6UR`2H z5XG6$#PAn0s?ngV5o61x_pjx&1o&J{IZ_O(fpWtlJ^!N zvjM&t#AiQ~x+9yT)^*wR4(_XQ@e07_wvP0sWpus2Rt%yAS|~=thBFWFZ1AowC^`$B zBcYn1mQzVHh0c1yTYqVLHV}@&jUHaaxtUhvyyFk(XrZGi;#v}{LW`@|W# z$IrtXRTt4AI(kL#W8R57^`&8Z-Fu8X8H~DG4gMfc;uh85czp4p1__<@K5Llw(dEU* z1g!Ma?&2iID@-9AlPN*XR(tjY{*B@%b=cnb&B9BljXK%-a$M>I zp0#$Svc5Jl+B1bnpE330&1GmjxSvc#CP?`82&GPF-wbodeFs@wjJxyLJEFGlMcw z#|{^6slW`9WCaQarX#0TBp0wH^xflX+2^s6&#!wd=4j_=EJY5br(EBR#Gr=PuMPPy zy$nZ~zm!`En0jhGwEw>EjRsa?4`MCDRJ8bO3qMFwZ3zNsjz#=?svh&wy5Z2Y&<*!I zgjw7$>LHGZ4+ll+g^G=K0NwNagjdu@Uwh2DdfwDw{#sIx#jm%~gs9i;q;c2bJ+{i- zpWz1gB1S6%ERai_n29NI_J>fV6WTMjS0j-=+1nj4*QJUUDn_I{FT(^wnrqwMV{A_h zX=BX%U5i-cwGf}LIK)5ZJ~?IcJ0uu|maO^=Q>?fT-Ro6!mMB@dh)m7Beerjz1JI7$ z?llbkWI^Z8gOnES7x@b9R)5R#y?TlcxzV>@bY7Bo^xDi)LM32?Ve4Mva(f8#s;bz0 zNHaNuX9igim#yw-?b6JjM?vbdNYd`Jq6hbjUusx`l*BkP=dwC2iY}E3&Xj04{cj?me#av_H%%s8vsCD8}`H~ zsJg1qxj)osu*CRE(vb4B8r*i%zcIiy-muiP5&P9B8fyXv3x|sFh0A2we)fd9riq7E zu5aZ*mOX-|Mg&0tt!>?P=5%Z|V*4Ki-jn$&t)}%4#VgM2bvVMX;SmBhU>I6xy^E#;4*WT6Ljp$G}_@C;bk9>OfNS}%6nFyfbDd1C8*)#*L3w26KVcM z7s@ z@VYg_=~pWml>Lsk@2L4!ooblS7H*W&a(oA{D4_7rJ^H(sK{VRYSc!Rof8)Z_c}Mr> z1eXcY#a?VGh|(Remufb!8+$AIWNkmLG&P+~wOfl#qi)X`WmLr(e&5HZY~RT}zi+VL z%(Yk0lhmi~N|^3$JLM;3xQUnZ+(XyQ@MxHz8k^rH7X9actD$GfarW2kuT3j2$(Y;2 zFWDvC)F0Rq>=B1bS|)eK9?J44Iv(X#9CG5)}X! zN-Y{KzCTq}_7u@gflMO?C-+vXk1RSa8~yGKF7~lNJE_d*q_~-K9%-8{{sX8;1!4|q z9YFrm^iSkb==29+;tT9=Um+WPdxpeFu6xO@=nNtrhR7A$}~3KV=cxh`kuU&vbt2aWQm=S>&_aa^1aUv-|g)VRcL2Kqqu}>I?>FFOmi<9c$vWk>18CmB0QRiq=V_y?c za5*tyFfLV;kgnEDM*g{U<@D|PSD4}tj<%F9p_11HKR$pqtZ`E(+DVlQjkAiNtQbU} z+TQMm>m*4tIc2($cYC69PTJ9Rts9vHQlQ5Q=siCc^=i3()r{t{XP3RFBV^SfUf`ME z&aEg&slY7K;0cSgtdatsirG?!!9$XrP9r2~b7ipDdE@$1eJtK_N@UJ&uwfw%kd1SP zTg&0ZHAO(YCsNZbuk;57(hE%;KZ_S^>wZ!$s8`SOSmBAuk4SX&G-X9gG8NZj#|g@A zpVh|)Lu|P)VrMub`w&MFSL9M@mPD$}f?IDZF%%0^XAo)g;_;ZKnt7)caD72#WXpWc zk&rz>&NS;)z*LwXJ|#wzk|bl3|NHxP`u5ETU|RE{+`&7nsI~+8tPtD=_=h9EwL8vw z$HudpANLFJR>{0?hcj<>yd`N_x~FljU$7#kLmtofuG9DVnL6|>Zy0MsE_X*T8#v^I z7fHBPzg|3#rT{~+P5(idj>6~GS1L&6lD7#@gx_L;Tph4%qIt7NE7r+Mc;~Q2cRZ)m z92;-|;9`IQ>(TGw@ufU;k=uW@nwAEJgKTDmSl@B- z(0kv2V^O`9DoLf|nNcNh&OF-WD0&YtreNaRC94+7o?f6Xo6nuMmNFij4&6I0q$ z{7h&32gOk8?)Eq_=^9&qHZS;%6-aAh@!BGRJ|@9tfW1QcOoyeX!Ant#Rx;=dnLs`g zTMyJpIc_zj<+Ph%0!Bwn6MrxEvYy9->q|)&I}x?CGkVl?9Q( z$z;X-Ht${&`#Gw`v#)e|`p>^&h1bvZJ16J!r}eWFzv+Orjg}*r^27b}`P{>_qW1kp zem0UNi8y@VK(VVYTP*48Sfxy7nHd_9ba70)mh7n2wEc`(1q-2_@f( zJ&}b?$gbQR5-E>8cXQu|@5oi6Q00pN;I&(*S0tOB<`)KarCG91Cqh985Nlf#g@`Y= z5NdRp%lBHn6CtEvHVicZu}(UFvPgZEHK$qKU(shC$AIpKv9YK2*D>#U#ADnr-rv^zx_WV zHD=|(rXun@u+oEIm1GsEtkE;gvR$e!w6e>*+Tokdmg;ToE19yY8;W?ju=W5CwXFi$MmAJbiDjOg)Fp0aRxQO)5u2 zz1~~2vP`pA2F-CmDA>DJ5a##w1~C#~5fSvX{v*X>NKC!{Dri!!5q7&dJ7t1g&wHQP zmUV5s+%^Iyo+1&_D4`nCi{EY&e64CvdRzGzgA-zN3PRU*qDmD$rTc)g@z^i%J~S)c#V^VW354 zUX3u_g`Ea^zc)E6uZc9Ths7I_*;r2d@Aqo~ye?zN!=?kGXBZYnW&@5j!G#v;^)IW&jSVI#cSH|UbnT7c7u#Ks z^Aa8-RjhR$OX>%t_lTUA9rfx7pcfVI_`1to-(&qEu35FCC9D(#O-NE8$Xs>&9P~j# zU#H(O;!P<47O|H&)7Eh7-<}9*>M^02Es}?V{Y)F^XAN(JZbfL%>x!Ns(S*JUU6DH= z%Zza1V#*pS0m1paNho>kmGx~=fO?~VtbE#>4EZgFgj$+RlQUN;Y0$vm{x-8KlDK+f zsoeu<3`iE{)YtSBiA?|BHE|p0AepS!X-+BCS6&1j*=DA=f6%6~_;|i6JuhlkLu%ah(X(yJcxeXOz6QJzzU+q=}XV=me6Z3edB^ zrIOCH;vNcG>`x0fnzej`f^r&vfOTcXI*Ql+?IXLXL|Dz3EMvDc;F;G1#UDl0@{RAl z$R<+ex9k%Ifh*Z~Zal*LJQ+$n&^FL5k zMmhEsG8oy02(pr+8*ua==oQOYGBgjiGygpeC!fXe&D4fPqizs_KqG^4Iq1}Y9sNTo z3*rN^at4T7-d;<7`BNbWgKVt)I-%ck+4QBB$Ia8ZMA0g&eieh) zq+g%0W{9*Pcjig_o^RDK_^9$ZQZfPe@_DR!e`eCCPL1A)uaEn$=1{{|W~iqgZCT|T z_-bT5!6ylv#xD?BZpqct*5i&t&H)mv(NDs??+^rt%!=jLsrwO3Ejvmq&0c6MLkMCXw*ZTF*+&hto4_kM6)lR2=0Fel( z3(&a~()o7j3I7tNSJ_IlS&-)S6#2hl$Q{v|h&g!P@~hip}1Af`LtD2yV*hn_lVaS$%;u1aM7|=ACwx!`AK0IDWj` zvM^sPCB?AM&yadc=HC%5OWm%y$U|SPzAIM?C%FgXakCIfoIcl>OBsViV5}gjT zMp05Pb?{rAmRWbKYxYwCM?&uScOlJgzhcBburrgL=6$#|vuvYOHcm6$>`;ikWxobg zsI-#3I9Udyybe~|f`mLWY}$!1a95b|B!a>xa7!IVr~lT*q#%gJCEhD*C;?{CsV1uT z=rIREz1v$I9dte_S%r?tG2$9Etsp$>{C1x0H0=6Ea+Dy%wZN?4p0bPzdWQe z(;ho$XgN!5MDKpn_Bc8S`-Z;NwBakp1n%>+8!UXEKsi+lC!Pwk>K&V|=I!-Zst>Y> zjV4#_7v0G}`>!noz3ZxFvp=uUr-|FowgZS8ITyM2bmaUYWD7c%3E!JbVzO}-RkQ-n z7V(MSG?JMvC4-2z3%_Y=WftDOdb{6Y!*>>E0kMU?T`%(lqA{P!HC|`a*HJ^VWzGKAh`}Jp4_3-;A(H&3*>c>X# zTwI`;zx%H^Rr~v2=@}eT!LQAEUyBLfQ9ng}OV%m|(b-S8q^0~m!ew;+*frReFb>q4 zlB|l9V+F?W2X#}qZ_aw|=UbNq&L_R;h*Vxewd8BMFuDycLRN-2=EoU~upbJIkm%;g zmeOh!5ZmpLBkdXj2ye8VkLY08ytqG2bUtV|exyQ6LX{ZuwFzSCd_}2v`_m1kXqwiu z&ow`?5ILS_(>g`OhRNU5DPe_}R~JMV$&T76FVv^uXnQ%|q>h$#_FtHr6X^dR<_1+d zLZ`|A(dm^0p=6a1^C35~GlCG&43%n0Hc%eLTt*4r^d7F`E?Ct6AEr^7w(>@ zZ&YZ;#n(k*hR+Y0Ne}jCgaqDyN@_DiQD6vf6-WSH#g}C1Z}-gH&Nf&4MqzfB?WCTD zhrX+uKpw!ecbXQjQL#BA6ogN^g43@*QCC>RThv*IE_g!y=R3^I(qnHaD>#UmP_0j- zc{?`h0C}QTv*f!D?O+n^! zueVB@{bHl7K;_|(LiX~;0LT7Km{D9Oqiz z^g>(v!bxxSr;5&>FciqRV4}{bvRQzapqeC_e!N|9Kq}Wo{oZZtj@3%mv`c1h=E#I|$7W zYwig}@Tj4I@~iHt>jqCiXIuJ}lol>o-XpteG%mA*c*$XwhGpip6nj(& zNU<;V4|15{F13L)fK0{3@J(4Dhyg^@ z#}fI`T>+sEU&igLxh#|HA58=xBKI23x)YyU3vKN{7chnD zm^n*rKuIu!#trpY>60mwJ27?1Y01$GN4@iFJ5*#2)y&n$UHB?_W|wA|?0~ z#|MvFTzv<;&k?TzUA!d~pgx znQ_(7h)2J6WiTm3#@krHUPqV<0V$PIhd381^$kgh?bjwm4k_9utxGMV!VZ)W_#TTJ z1_yL*E~}$EZgES7bXd@uP*4uVW&b+DtKEMAuJE(2wH0_F$i#Uu)8277mN#i($tIJg z(Rd{NYIx=F4@*dh5%#~(u>0^+Ug1$6?zU*A58!&uT9P;u$jE*`izgT~C3yA2V%TKy zOOhDd-nXj4Q$WJdHe=`UaB^G#JDm(XKv`vK2TAZyvEPAGoMhiMeONU&EGAP-ihVz; z!tjD418ix<9#6A?R^?5Y%UkF3wODd0N?7DvT?j69`o~#IaYM*5tl39yUyMA@%E;h^ zczcf#?J|jh1zG|aL7!tn9Zm|&*Eo;S;D1I5tGwfkEGO8cB95ZP2$5ya#}%F^1p~D{ zPC?vwK+@=l2mKnv+u;kEcr-_ylQ?_iH`#T-v#<&bE%xOCI|4P2G%yi3Q#9&?EqvX;fL-b}*zR;G2F+`&p6%vwvFQ|h?T5*;D)7A;U;Xz~6+S(7rd)1w zMK@2uiOE&tpuv;&hWPEVrh?*#?5)w0MjxY!$qLV{aS~Wa4EWH`bitwdJE165#M@*S zREps|4tU!~!U#66B)}$V&Y6~2rAAeg7$H&tvPjazto7B3-L$y+wgkyzEL)9x1#?4Z z&E4P{Gs zjs~oMYIks;LRBp_rZDj)aB;yMuR@yklUzhVGZOd~6`aA(L2mjoIgvk2viLe*CEoV% z=$&S+3SU3GfkAW&gNj?;JP{`*Cw5|HJk?M2NdF(3QS0j&>5i2kx2Ai%G+VSl&J_I3 zT22f$57q=q5SgeSX^H=wbgf_pYl5v-)Qji=c=LGOh zuOV2qL@ELG5jv3;`9oY+I1i^02W1|eNa~QWn>hO4Re^}5L6v-Iuzq<6+kn}P^F zHb?y)4GCd;1Q=*R(cJ778+|bdpQ**29%tA9Re)&}5&kFTW|jCM!m4a!$<{MP-T)|-e4arVL_2aA7j?{<^t6?z>0!7k0zBEo4|)AIWG6wNzb%~2&7 zlU2CMZM)dCv-b{60toiv68GVxDg4tkv^y=E{v)x0((Ps5pFG9e_m#zQz}*T(R@L@p z6@Y~j{w&pA{bULxostk-izpC&$p>_p%X6S@lVO(1|Ey+_Rdvrt0K}s5lWDo-6DtA( z&->H^=u%N#ZLUrmDljJJ@?t(e+Kc++a_j-_nNuh98C+s*>`jIUpLiKpI+$`1H(EXR zJw=>hjfuSQBfsJ0yMBoQ*%PMNSn@al5*O|XLvDZ+e8J)rgGz_|LG3zxsT!}HftX{R zr`_b_wn}kC_u=7UE%EI6;MmZ`%2zGo);;+)U=dry`O6Qwy6awEwFqMT>7%KiF$5=j zAv$cJzaGv+`^R4U%K-XCbca0f>j?esO_}@c5X2EwvqStBRckU(h+f8eW-BeH7##d~w8kQRX z$JEVHlQF&w^;2@uc0?tT)by^Y?pP z?Azphve^JsT>cuJHH` z4LKH-4A8u@;l}hkJJb$ogJoGVz+Cu(V{*jdezKwez3RffVUuSuEk0|dGvmE3+IRSQ z4;#>M5nDR^{EOq{v&F{$`(b5-n0{A-#*ECxe}4Vhy|J3>jp++td0uvycVb@o$Pe`L z|Nh~s;9u~`IYyiwO8cD%s7(Beng3o5Ub3ZnIod_QGgJ~hILh-l>K%Fe;4pI)ycfOt z+qdo64*Z-L2Cw0Ozv8vV2Ctc&tR|j5=|Q`3e|KZ6xH+p=2}rJ*%yvva+pTx;dwes{ zhE+qW$~|j5){pTwd~Z}rhx=o!9Yfe?D5QABkiuvHIBHdM~m!C0zYGoZVSow-*FQ+}>7#!1@& z@Dmh0LhCii$7H?+55a?wmUN6Uo?YqR7fu(RGOi(fv{|FiJ(K%o)z8ehwSK(okAh=i z>4(tF3yVRr#ar@~_5CvNx_;+#a~ga-a5TXPJ1=(y_$7S&$sud(W$IcNMfVZBx(F3` zo|%JVtbgjh|E#_zvufh!=^t3{$v5sT=3jliDn#zS*dsF=KFBrDi4?x?;F z;Utjme)OO6*6l=xe4vf8W`;~#;q_42fD+8O#i*c<(Dv$QLxl??v8587&X`Jdn($ zyKLwamxbu^TLo^2=dI8jGx&KZ4W8-?|M)UD#LzB6xm$llO(w=jeXi-u_uLaL8ofuh zr(=Jf0_?=Is}IfrNY3AMTlff1`%s*jVpoY@#=T(G3}k!ov$0E5P_iO$?=^)s5ih_y%8Y7%{Jcf011Z z+~d0(LUwhcvcOB}q9|znTUm(?5^-Ul+7mqpGZMuK=)pftc&J7Jzk>|yz@wiz42>5s z4HrFSZ%EV6+ep^@Uu2Hjt*;6{KheQ*KA)^!K?c{u8ANd`V(vxkU5PW$CbShVo-K3y z&3-+8vR$jpgz>;diCqIxGGr0(+PWjUh3uQ#;1%9Jp3}M6P($Z2(pL<(Hu?`A6RoRL zWTM~JGv{%VKXYZYx{b5vL(DsJ3^xM`?=T6EQ1Bc)**+1b_B%U%6l3X*5Yux9IU@xqzKGR-^P!`dwUfY_&oEw&_Eoo48m6D4TT} z??`lCD9LpBs_SXb&5&F8!-E^!JQ@T#BAfCFGSJsDY0`d@X;AoQ0>tFh+)onWft z0s$t^o?FNb&S9-lHh-#{G2>JEs*`Rf~(%!~=aYwA1vdvT^z3?(A*G zk4}XBbI;_HxD8J~bN^`aA@XTJe^!DYUuXF%gD_BpgQ4L~A z7Qklu)$L`{pZaR_UnX3RooXr;xu`vJZ$|xv%6}&Jtw`U`Q>~owy=7XlQ1ZY&TEuUR zvbp}F(tKO>w}UX(q;DJl_9Q}^YG%kYE`tzggXNVvw+T)_G9NoG_jB=|prMxwZvFUN z)@5ySOP1h|62?SHD)h=eMJ}+!T~E)41)m2ZYZW0*NJF^JIBbq3jHffJGjapYnwCCt z$BbCdG}0b@%{MQc@P$`JjE{$1_tKyO&)tH?@MSQzne{Krbosrz=yUjM^vRvOKUPa) zFc$0q8pe?uB&~yvt4-TKo^iBND?C*#UbStJIBNKO2#JwxhiB$l0>?w#<4gA2+C5((CS_tG79)=`4Bs&e-u~&U|C3WNZbBdU zGphcCPweDwl^<+)$nzseAe8@k@|B$BLQmTOKMetm`ju_BSlN^*S0vO^Bhio9%b5ca zeu~ciTPh4y4Ue#C$cB9S>5EiLBMeyXTs_hkwdHNSr|&6lv{TM-ptI1 z+6<+=G@tUD53Gq(>^A zM926j2RC$+j`O>+*knHGyfS@TUH8c*=hf>3gjdNnqAH*ddKqk$*$D_yww=C0hX&NX zGFhJ3*lC;=&zmZ;?g6SIUQG1t@YI$xgg}9TAg;}9L2~!WCls`!F&Nz`^~)jo4#NnA z2Cv++#kzwL*dWL)q#QGkwjMQ*GRL{lg*MVq3@xH0k*R1j=jXnU5 zxIxz>zuYk&+PlJ)5fIFtnN}UR{+>HGejR*u5(`x26tB{9iR>N3#|)}wwAJB1c&fvo zTT%t(zROjvU# zw>20WuH*8xk_a&;+Ovi*xNUda7a?l6hDG86G75{0JBP?#W#sZd=o^-1c*p$wU3hTS zRVH6y=g$YvIKt+sf~A33nmKl}d?uyKO{>xO2nOhRJ&isi4lxgwt6*?HbTn>c7VH z!H)B+7-Y}enSlo)VzH%myFV%iCpPkOu1cb{`V7^DT7(aS-HzvFMz0t+;hlbLGO@XK zTcwqQ<7cYfx23ojNj#9Y>x$GDG3<3ECtSM7;4Lz0Emia@q7E5!O8BTBo7`2N5d7Q0 zcF0z?--QY-bnr~Wy;CdBl_{tVL(IgveMpjPzJUS>nxihlF`qfKa&g{6BoI)ZlUq+A zG$?kvo9i>KKF7VgRAWrBVMmaX#A{D7j5unvjh^o#SvI)vNm;A<5o+LfAmMpJJUfgs zs0tZW)f-RWDn9V~VZ4=c^$^jNi;^a^iXy=;X^+g&VXf1%H>)_*wo8|a8CzXZdZ8U8O9m&y*Q%SaNM^doH{ka7@R>@tH!$w4 z8~SJwY}`jVXT`q*lXS88I;_<;mLjY)5%X^hec7oD=ZKIVe6Ue_?8NE!D@~c5RGNapVi!G zwdNV8*(N|6Y=|`>?-3MG>mXylv6i9r0^|?KGNl?!D`jxfeXX7dBbjX=O?vnJ8{FXA z-E5g5zCnZiQyqe>1xeGBe%18U#l+uKZM^o38#3q=@X-f0x!4xF-Kxrgi8Ixw+tM}g zcl@e05BpRk)aP&Id?J>Ax#suFFvRSPttx1vmLh4QIUJA^+N`009DhRQ3^SWXK!Za6 z+8IsQs#@}9s^H+hv6iE?p>gM`06tUcIj!qmF+K=TCncMLkLMV$N$TFVT0>1#_`Azv zYU;^)2oS-9osiDa9A>nUCLZBHJo*52>JU#lqYB_obEFw@XKBiGmKZS$-M z*tD0{cmCq(#%&~`+;YCqgy`7vR|x09cFclQ!Z+RK6JP@gSZMsuBbi>#>3A{9PR~w% zu81>Yn((aX3Pl2wkIpR0Av(Du?5@?c|E@{k-p?@#xY*rm6ZAPj--6yS1S%xyAcMKc z@^|7U(vo7SwpWB0OhCZf4RH5M%5o+Vu!^<57;N~?$+L?alNVh%S_$MMw3ZjpX~5&7 z&or9^;SVgYoGy|ByKRdcz`~hgIgZloe2cjHPv{U9EGO5uEjRoD?hi|Hrhjv?4S5A} zAe7?-y(?cLctdg;rbaPc!&1Z~0XNIGUI%1N-oNSpB8xZVWQD6DRsKIMf6*u*3~0pt zeB5iO0?F6ou%rDtD$?K+Y&2ds5(PEvm}L{Z$_j*6LN_$i83%P|FSK+^tIf+J^dP#gKcsE;YF*E=T2igfH- zJKCtqff`(3TUsQGmeK*%dzCQ(|C%k|T+Wf{4oAl{ysJ-tExMlVOih5^0;0wp)|$WUx;o zzO&zIwlW_slnbF{BtC9%%aN_`oQ`-R{Ot3=AnQV4c3K1o=ot4J@Gt+}nGM|lR)!|e z<@U*N%Af(TXMZxbOQOwkc)_@T!z&3aYTj}4@85G)t`;v^wsN7Hz&4k>)pK;qwogR5 z(onnhnQDAQfy)y)Jw6F5tV7h`uLE8}+b-{5$o37J!Bd5mA32O04aRMrQ=ZT0soE^; zNlW%$LN1ByS-)}dFafETcBX<>@A`PT285g>yJ1J=^@ z8t60Z(&}*$2SpmZ2@36O{;Vdkm8w1zJ@gF#OZc!&v8xP-hYz z)mT{lW{3X3>_(0~iL^h3FE0pmdoC{}p@p3;)o{+)^y+H|A1RIFf9I%R`-YXYyTZee ze4QI@o!c&_yqL+;#KX_2cSSm;01bdH5xHmJH}FIat$;Hv)c-`C00GTH@qgQ@Dc`DK z9;~A8%kusK(ZkBH7ZRZ6`=5?&d%YI`wkNCqy;*N6$6lz7nLW+AgSaJ$R2n-SC=S|h zqSFXSSPEFh&~U<6pdY{27m3V!xan=&lnC6EBwTFYycaO}#A9W7%D!9opB`nU^Kg;a z;Hh`)>a?I+(Qp6j5PxiJMd4N~w^M9O`BoM4KoxM<&SFL#ZPF7t^Pr>NUE{fx-1DmN z0oKp4UZJx^AID${*F+EchVzNJnZCeXt^p=2STw#og zr;uiRGbuA^YNb#7N1wmHEQh@wI3D1p`#N1PEBsH7fvNGnDNzRl8-^e-pcS&TLvgDy zX7so6nK7^VfoN-ZOAkc4V>3qNRwi^4Sh&eseGcC2!#B;GX&rBe#arzg82`w;AOq%G z?>iZ#)p&&K;KG^m#VN32-?Or`9UlCgk10UP$t+F>Od+yt$w}pHP8K0`>;m~Fl=+m_ zS>)r~Xye>&Ie#Y-I!XeBSLO7FUXNzm&&2wYQP0N37Ywu~gh6vw$uKnWFx%D-2LS_z zj8JP50o7Q_!@)Rp3(PfJIu^?p&{&p*_zSKD@-DU*I&Sz8^kbT!VBGfprD*pMb!M03u%3yI?+cEma2<+{4Y*(pISIV!YO) z-ajDH(ne6!IZ<&aO9*>z@nmoTYwtV`+BG%l97ZYF|JF~Pi~879fPZN6*b!89C##0D z7)@3099;Y6TI5I`T~YG29J(1MH8nDN7|!dVmwM}bBbg|YuM^{ur`Miub3Ai0t;c+= zp)2V^T%J%7_|H#kqglQudN~(a>)vy<9{Tpj+!o#ag2Qpp@r~?aNFIW>wFo_Uotnmt zs@V_6fGd)@v%{UYxYqch&Nw}y)=A%bmD|3$-v=`8L;uCLVJ~lOtrB5$NAAnA5)B5- zDO>rS1J>;#S%152w)=Et+si{9u|uAqHZp`94`U*TIqzmyRTFj71&K{l_m`$=efv`4 zi&;DF)v;qoYj(m;JutFXW}i*JkbyIoowe1noj=B5>D8QS;cn%uO?&q8@)$3eddx7k z*RZzl1!?HG%=Fl3^Xwgz%Oqb3W;|adGkv_W<`K&U_YzVyWV&Wye_Dgw_(OZ}_uGw@ zkN$ht^s&Ny)dCw5bnc`FPW(Jo$)iW;JcktEahDqr)OX7<`u)o!GcXp%7|c?9VjH0r zfy&Iek9?4h+|Vb2ks*QMj-f0WvE)Cc-xLU;X*^wfa8{^N06Kh9>vZ1Zl))o= ztOT!G;xj+YxEF%tMUK*gi;LW#_p%WGuWdbMCxxS3oRF~eb3XFZhVJ{c@tU-IJFxSa ze~xGR{Z0SHI#(d}g@1}$$-^r!rQHc7;ei+H&P8FxvC!jaJq$use>(QyEXOKBn&De5 zlR>FN3o$X;@)0W!zskw%j%Z4HZkqlkpzyKg2*|m~maY^UX5=QEd(R2o3*O(K*Fk$;8-+1rXM-lh+j`daeI)-pzM)z~|gvXs|Gck9@giL_@KIIg>XAJES)d9-ZPK7==#9^5s% zLP{Q(AV@F7R&BjL_O&+T#P4nyG-v_O@~N#x74qR73|!5Vdp1D*O8&!(|~ zBr54=g(mKCeRJhxj$U-&@6E4m-k7G0^u-f>qs%RrB31?|goz{$17V8siR7nvM! z?_V&CRC`_ru!|G>sWrondhmNqi?5!hIbp0LhcEqmCgk=+^;LZ)+^rFzqd{<0M7OVc zirG`Mwt=~vNTRJP7Y|lB*-S^+u(~fMrir%(<*HX#87HFf(@zKwR#n9BB=J+{D z4L2G*fze?Db&DSYnV9-;c7lhO#jksYO>y zC)_4ZfzDsLGkICrgH9+(C1`m<1>#F>T?&r%TV^Vzph1&X^5R+=$CF(gA35CQEo3j2 zhj&mXg`X+Fsf`^>0O?=+^Ss+o*Ra3XpHf&1u-sxF93?+tiFc%wW_HutcGsqu8?+Sp zjAp!VS=0MJ04YJ%zRN}SrNxr`itF8r=3Bk*VLjzJ*=nvtfzXYf_=p6F>*Z>ALLZ|(+UXvWLK zEScu*!Oe5MS5NcMb&`2Q3YtGI$O3Y2Yn>cT=v7-4KA}#Als_Qo8uhScZ`S(wKfSeF}cMO|uFSWFNFA#g|NKxqf zt-gJypY&HIoxjcP9p|n@-z&!W%aX`KUCrNc3N&AF-b>NEdH#=p9Ur=FRhn<*zK1o| z{56*49GErqO&=hq_Q-gTL&e8_TM5XiXU)3Sdz^I~IL&9R7uF1bPVI4hKWYA*Cf1v~ z$MuT77l7S3XMa!I_fv)L958q0fu`d1zLOZrRgNBmPVzfo{p%0I9MoeD zLY;xG@=gO^-Xl8ThsPB034bv6t$o4-=Y4`h`vQ#y8fbkQ`0D?u4Cemh;MVVFpw%#k zfd;Clf!)4cC79de7uCNsOjsDZKm(c5z{x+`H#+!VzmsX5LF%3ptpgIbO6DJX{?^RB zIh}`f6~-XYK<}i1uk2YRnETHDy>r0ALJ9MpGa49t!DZ3rjT@t`j?UYcE0w3%h0K zbHmyKhXKapURdL~m`}WI-Q)%cg(akA5D_pD{Cy|AgpA7(^$vh%}xv=H~-w!lUi3S3gYciv*G(q9_u!zgh0BY53Teez2w*60kiaKAJ zAH6v7spzD=epNJX0@^4y>Hde}-$bfM9d}-GRnx~ByFb*y0YECg6u?}dhp-O>8mL4A z0n7z37dFn;r2*8WZ*Sce{qO(&Z+!pH|NKwHec#Q4tPS0&rOWMaa;;nQS~T_XCn9X^ zwr}4aOXeOJct>>ffBdv*Op~@^_qS=oo6)0p4X@Y^?EiX&4PXFs6=@;xu-4^aVeT@T zy8z}g8pukhIs6_Navf;krZdj7z)dazZG7_mfO9UgwsQJ=DGQL3@BhdAO}TZiy>2CA zPe1U81$qEQz#rfDJIur}szV>7&IK>evhNf7zjE)o;+T`8H{V!iZ~m@lyP95i0CVj< zzja(wO{d+^x5m+K0CNG%g$3WbG%)bIiz9#-7vOE%)~)taz}(}*M@Fx8t&9PmfVV#x zl`PLCfxHDXXZQF`B!7TBp_l7UJ~IYi{QmZ~ZP7)CR|ojU4I62lGpz03rp?hqgNKx4 zf=J^4m`OH(L`JSI@<}^GL<}_;>$Sasb z-BT{iLK*;a+AsD`#juffz~IZ>=l$-#dlfMKhI$$$5^zv(?Sad}EV&wfXAe7(Y zIt@@nLWfPBfJUvtr7Z%E#*Y|f%kW*fF}e1{Gom-wt+(x^_5viSg$0uz{8c*7Ss#GrOEq90m=m~hGPddy>x%ql+#mXWnB%Z&Fjf@NJ6X15q zk;ld3g5yZ7bWn3Z=K$tvo`YKFFg)M7+KXN@cXXpp`1els#jF7 zT!3L68*kvb7sulY5T#$#C(_SrPB^^?Tw+Uj^O@(zz?V9ueR^B~$hFWdz}P3CbJ=$U zFjvzY)G~kJ*#P2Nh6eHm=G=`ACd($3c0gZx`q>^p&VmoK@vK?CqDOtNPJg)^hU@X0 zwl$K?>dH1S#AbsP$%k}W16UW#$#0c5;?to;PhM2a+5v>IWFEA%6S_vS zSuH(^dYgkK;IXQD0+_3A+_F|Nv0dxY~j@t9b z(YU+sjjlPNPY=34swO}ut-}M-JdrNa4q5B+es>*H)|J9Zpk?>Kf)s7Wq{;ScsZY!* z?z?GFQ9qD)%9siLxOR|IKZjJ*+Evk60CUxiTMl??Rr4L%9p<|l8faHAC$YC&Kq3s< zU1V%pBBdxNqLVUiS@}2iMXk7i-OX7`;9LNkB(W=(eV`S`qh4*!8q5L803fJTkt%6> zua0^1EiD4jM2aSJ$9&=Gs=o&mAuR)BX*$;uIR3upx|?k{5b8(8j(Sy>5!+ON98z%i zfPh*|5Vi zffh>9K)ZrDZGf%8nFLc!0&^~i!!8I_6dQrQr%jk-Hv+A?l4sdO-01tQu&AGTXlxI) zv~1{XSZvU!p|D$e_R(>6!xza4_DQIztF`-Th4IO%jah>^+JzcW101A9s1mg&rr3mv z^UBJOrFOmmb=ocxiItXGX;5Q5QwwTK=pmL|71XI(WsAyJ{>^hoefKAp2_w0}168gx z4i8DHSb(88Yw#ZWME|7^V)I7m6a7xwqNSQRNQjyha2l`YLYNon3%@0SLk-*js?5n* zzd0msn=9#i==Pyrn-c5o;i03W{+A7pPCC+L==j;0$@ljTjSgr~ZdR+JHgp1LX1q~h z=fdkZ039!y%8HkTdU9q@*sfp>LPIU0H!A*~IeAJV!D~AqVdUDwEs1d1T$1d`=1iT| zQi}?yoVFi|?TE~H5iFKXDXIUct^f@fj0Yg+x3KF0=F%`#LUdew#2;fdrG)1IkRv5S z;^qPm{>|C}$e=pq8C10TFr$Inxd$Fm6sL~oA2(r2T!#nj^vZz%?eKua3_#}gb>s~L z;&uS)_+2}2h&L+WQZ5NYqQRWbS1aq9{yp>HqgDbbYl3ycJ6yZMB#+^{uk2qkULSS$ z{dMxXqW-}bULM;UirpcfV;`7Y1m^A<@NhJD*5YXHj8~%PjCP^k>yUm*3OH29cVBhA z^+pE3wtevC02W(nUrWOm+oEhcgE=M$h6)3Q(WCY$HEGp=IpS?4d3$Oj@Nz^#tTx$P zFaN_y)+qa=z4vYSf?>mQ`@!bL2A}2TfEv&zwi+8oTBCDRd5oowg~xbacGL-}#}m*& zTV2T(_4B=Ns0nNkd8Yp^eT#2nqDs zs0D|V#l2_;U?__=NT63X66OMMkW??gkvWpMe&yy%o@axR4PC|&6`*7|;JY+QVZQgzkp@Q~D3z>mH(Xd%l5&uIHj%mOT!Q&KHCgrWMB zwu%)TUb@5|3T7dN#OpODZ%)Nh?wj042c2VNXad3t7a`^^tT z2mkV%xa~q~+l-1_`org>(GNz}ImEH~(_`a;JFYPoNt-@( zalm4(C4ja(Bes-yAp6u4$^<~!ax~D+U`{g{VK}9NfK~(M2t1nP1c9V0i4Xt^Nx(q9 z(-Ip25_k*NlcEO7PK$DhiluIq8>vW~9Jw%u0 z3s<7{@Et=F=|R6gsUCncc5L;W=QMRQ4yYZoGHM6#B3(mD*VWNk79eU+R4MkH^ojNY zJYxxW0l=^}MdBv$EBO=^e%F$Y9wA#$8@gE`DoORMH?gT#XohyvHqBGSP7fd?f$7swtS2%g|hDP12?y z-|?7MJoC(@g8 z&$(@hH*GV&u7iQ^*tTOi)Uv(~Fa+Y&W&(O0v2pv14?ukUi2H2XGs+Y01WB5#0o3_! zN-i8au+K|UW6pTWc$_NLu6s}uFaw`(4{kW}XlXwHaKh-Z(V_**qa_PhM179!9}T{7 zOp$ZRQNJ}(BLn7ih!#Mro0hF8Z*L(kp|*K*523EZgX?{)?F*5-xhhN>(7BA7S4=r@ zvT+Z(GLQ3L+Tr*j-oe_wn7;wta!4p8DXauKljsCHP`6z^^pv&?Z7-L`E^U3Gd@lu! z0nBwzJg2Tw5||_~k_5&|o!(GcY6cyHG{cBE8DrbWt<^p~q;oIM@YA|jZw-TtsY>GjgWWBiJQ%qwy-HnOhD|VWHZt8#U_&E!s;4 zPDvijtOuNxaJaFdT@3KT+f{(YgnLKFQa4Q#v`pbF@*78TnT_KSCW%XC1)9=qOO{E*}_FdKop=nZ`zCzAdsfReV&`0Kpz}fH+VrQaamw1T$?gDEG zed9wfzGWCgOc3B-;&4WPNtws(3I;e}WM%%~+s06?MZjSIbKMiAb5E86Z%N;4CJn>j zH0lz+269pd&s~4&Sv|_)6{i`zR=Lz;QDIx-N|Sh}`!1LYDwgfW?j-4=%`ng;Kvxei zC+)-j1+@uYrvx#DDGP7{c)`4TY>cL@7>B!kB&m_ts!1_R;QdK4IZ=m- zv`~18WFxU_#H=gg)V(nN$sP8FM!l{jb@Umh6m4smG%in#dDxDfJ}Aq$&^H0Qv=gbX zNF8-uG7m^-~}*OJD6j!!yE~y>JmApC>NZ;aKs)bX;E;}PrB-{fFulpejn{~ z-&IPj={}d_p;u2Z$LW7tA)S=_o>G!l<|9e1sll8+{V$pKYFTEjJzzDcOHz#oFqcCn z*yOMYNPv*qK4p*VPmMB24;qh67u(M~`o_Q`Tc5_?-& zYuGxXZU^)w9fb8-=6BjadCg~qSBMH+q?3{j1j(6zKmf3mqdwY(w=V~XSBwUQ{&9$8 zOhx5hig)WVk^tA*i9@TtwIfbF@?g`yN;a+a*n>iy8S%sb=DG)R+7pwiQnSK5S%jq| z0Wc0XPb`d}REQMXLVb+_b4fOHoMK&@xpEE%x~)k8lj{ZMXuGI!l7L)EFlQY+dO94i zq3X~mvI(F9FUN3vrJK|dz+6U*tH}VYp&L+{+^nPSo)Bk%c*JoSAtM8z0yQ3dh&65V z$r^wpu^z<(R>H8j4i89|ONFOFEvQ2?p|TYd3^WW2X3mb6C7|cRLyxv0Q$$_LC#rBa zyh$n0DN;x3c2%bmkX(2zd;tJPe_b#~f2^jV;LU6SnHdwUs=u+%xkb5kMa|56MB1qN z3(xD+ctsi;12$!U;FANimIz8&Kpb?X!L3$(SL?*#L#u#M`pDiB09}jnr~u}AIzS{M zDd$U=1@xjfm3Ni1f24m&p+H=3R;i=XDOl#q$L=tIxfW%V%><8Sz+9ZGwM1@K_>bP4k$Ryr z6@UgHLkfn&1iXw;NOB`;>D_C z7XsS&x6Z=B`;oK*{Ah<9(j*-lG4;yX;N4=JAClC_qYH5)-L+0&X$=NlNgp@7 zG-`k;f6D>ClaHZk&%X--sq7i7&C7{Zxs!iyrBsH=eex(n` z{;)d;`O7Bfx3T58YZN{%dPXee!2$@;?>hyw_Ht0W{z`<`A=K7hFffVl)4I3F${z;x*k<76sC*Jo!Y`Wm8dbJm>mR7lvFMarAe3P

*PimIgOM<5;5O{) z>+P6Tvisv14%UEeQ6ptpzcLdi)la-pcD0YmO<_7)whP%8^B!s!JLlFE{nWe{#J5YxLy|mAU0^8h5T}SD&5k+516*l0{g)JQyho({u2d4fsy%>Ri6;LR zTSJ{$B}wv0Ki%*udS9U)q^GVrnUsW#3FCkVHhn}2D{1DW4a}Lg6{kIfgF#w}Cv&CP zdCa${*g1IPLG6x*rbFJv*vLGQ=QwLRZRz>~n5!?CgTRyQwJ2|s`@X(^qAV!>t(`#El%=|j}VfD^(M(a%!A z5Z)v4-nC{>JDJ8zF>}ta>eGd5R$wk zv1dp!%$3t;W)rVY&DJp2i0*r+TFqj4WA&Qotlu2cgP1Y86}u1(s%A{;j()H2Bq<}z z&n-u&0Jkh+f47_q=H!`5p+KZu)@oM5vAAPr)i8w|ox1%R%vEYnJ?1*p*wqSRkcd8rsFvR65LD_fCOyTw5O&`zvl!f7BD7SgCuotsdQnIg+w1j0XFb7 zk#uT%If6?A5+po|s5c3jq8&oyfT~r#{Lc8ep1Q8o4K?n1lW$kr#2Co9QXlW)-;(xC z_9fxx;sp(Va~)Y?4UQSZktXFFbtQTECJ8NMKJcwB3< z08EjR_Q86*zv?<$0nDLR6Pt`upQr>~&#N@KKJ~)YqTVc`ZDSvz0i7&5zGH!Lg5nfN zdrOtmHYQb1b{X3RY+RDw%~*)r{eqWgMSwMoaEqL>={qj^aoq*uRJPJ%ky0;h18re?7G%}G8fu2;2^IXNL~)sIN$7$*s2oVGpnnCDPu z0CTNK14#1a((8=Pz1jfLJU4axM4L4RyFS1HU`@P#u}K4D0VGArj*S@^y+X&|Hzfk?|leJTLVeK*fipJe!9>~xqDO#=uOG!TU6 z0+3}KTplU`t)-vD)_eGlp*;W}07y$nd8f_TV(ONQc&jqDn*Y-Nq{BIxniw~vmI8FI zKK}HGyutuu<`eJbYO}wP2VF}a_1`)dcP`gZD}Xsy>Y+Dr{WpZGRaH@_iXw)h;17YYT#`YQ0m_KG(}7bF43b1(I$#B(c`uSAd$V zcwkaJ016E%;KSH@xzazLMeV93hjPG_J&6=&Pa}b({py_yCz#MIHgkgE>}vFPH;xa*lwY`WuUnw*R{T1n4DPW#7-<8_ah&d1MXaNuz zz+B8zkTzZZhm)*BK^|!rKET0j^v$=~eB16J9Px9m{p}`!E z0`$EUFciQ`8OgRGAO{H~4t8h^#GXyeL^O}mQYf(pq+XRVi^y=6iPaGa}IZ^kz`?!=zvZ=$NMhA}9hiAq|_MT+65cpwIMysyGwyA4d&!;%ClHYF<6*Uxw$O`%u3CdwRtJTib`rv zIeOvbNgOG+tvV2?e!iSnudc(MyiJ>231%hQg;^%GCy$#|Xj2owUjTEt1a7si1w8Ku zo_lc*lMmv-5rkOpJ*afCk<<3RNR~7}(T89h6KEg%S}D*c(mTCRipMHENhEoCFIcv4 zQ8eW8YomJ$c5*TXv{x=sE9>!bGm9ieGjLVO?)WAJamH3pz$We7Xe4jCzj(a%JM5Su z5T_6Q{EarrI(aDGJ^r5iO41zr1cD-fphy-0#`MW`_>h3k%yp8W!AKsSr$st048NPkX(7b1MX7}`zgRgl6{!;L&Uq3^{F%K zGMuz@{=rfbFD+T}i9Ak!q;Hz>==s&E%5w8XpEaPXL^JwChJ8gTwY3{&MB8-uj587g z?QK`8pT6z!d1olo1b~%+B)r_bA$`*EAOG|x6Ps<^G@F+-@h*`8BG5+r&;}sFwc#Fk zZg(DCv5kX&BJsnHOy-^#c%n zI-C?X*GcwpTKbp-2$O<1C)pTkn>^Z%jdiR7HvI-;Xoo+>f*jvk!bkhqBP7I?25G2o z<#N4nwL~*1pfh8tZ9Ksp0Acz;7#M5}lLSbBH<27l#*^Gj=4c>B^s?#0&?F8}xlceF zgW+z{3=W$s(k5)T$}uePr>;&3Dg_n~1M()BlTKoL!0A*6fGziT zIj>WYZkqHUjnaif7}!@xzsMz+S4P5o&_3Flg}q9-zPm=pzGH%oLlTW3>ZXpQxNoKE zPE)pA`;Z>GZK|d{zGD!|wKk9=IBq4W{j8T3_5^f6GM8K4*$QhCekXe*`z0VrAJnn` zlY^=|{_z*sUT4u@{Af4;{?&y_+Zg_F?%on5UF>zh4P2Z+{X z0D%C;By$}pmq=521#_*i074sEd*clA7v?W-G=Stp2Zv2EF|i5ie`&HbXq9UKa{at38yEjS6x7d{oE_F zmjo~u_<3#tEZQxd8HdnKmBMQg<4vS~u2c-E9yVxliKnjwSk|gcZI6s&1EE)32fHFC z31dTzrbr~>d}22UaKk}G+5)gcYDrrJ2uj>rX_sUyaP!H0J@g#K=mvCtV6Iv7n>8Ky*5_N!cU*ky^DXE5 z-m2-U-TAAm2Y^;mRt~rS04-9FjTvxzqS_Y_C=xo6@bL-AlaL}~hYT-@!>U7fy=*|6 zsBB${p_CIToDOlK!=ULfF066dM1&7*rEwykfc0drw~Yn$I@jbBxC)$V-G2Dmq#pIn3! z%TfXefEsqy_0|a@DejvM#;k%2TRS_W5|twT+)#Klib)r;UJwRTOV?-e&iPSaj z?t6pHT)Pu@=wATlC1~K+NaYG(Zs#(RxnE>luD886^`>i2@hX#vFNl+JoZKgkf6-Sm z*R1)?nht#H^DXB)F242omh*jYYP#wzdjTO!P(kV(ug&N?4j*p#v%&o?w*XHEbmq`@ z)^EB)W!a<##?bs6F>)pKYXu0L7*I{l5bP7;6F;^IeCM>l4pqG8d2|RHtZi!)8c0 zj3A+F?RD-;A2pW?U@j0!%|w)A1{(Sn=sS-zFmcoaUZF^IV54P-^>I~ zx6K>o{S0-X%yaYE^(9>8DV)zPWCFTudjf4|AKpxy{X-1TYtf zBR9mD(PkO?6KFcmG(g%SA20_vd-2JqqZ9Y(&Kv1-y}fN)0CPFyBS_}(Y-HXEbPKox zq)DJ?q;;rYg>JE(6N%lc(_apAn!`EG9ghiME^K_cBg(LF>%qLUjz~Yz(9K|TSIQ_+ zkG`~QoqhK^*h{@?Rct14xLupy{Lg6df)(c9+biNZazaj*N*a%&H)yeEij=< za?s~iZUd4u)SXiwf5J-CY{K?Je$P1By7~8&$DCa4Soc_$I?=m$vyyMLmB%r(y+Ifw zfVl<@bYQH3AvKQ%vW`d}BG*?jm#E8pE&#*1zdd}%dNFENn}5~Z8`k-SGog-thaD5v zTov==+N~jld*qJ0t<9Uxw<&+e>hSdM>amX8i2nfl1l4Sq*YsoE{$m!vTmW<7Tn>l?!wEm5a-p&wPOsb%; zwyR&;T1qx)^n-D}OaK=EPv_4(|93}LGuA~JFGLb2QU8fUNRH4jhtV1e6!2ifT5ZyyOCfaAWK1_dxs<(k)E?!iHK z#vq#Shnk4|`gg*K)Jij_|MGxn%3~9v(KinYQq?NwtkyYb>E{EO3maEU6L47cHE$we z{_D#FUYneddKx9zURX4xHdK0zhf|;|6=5XG$8S%eS zY2PN!DuEvbe$*@)2w<+dg&sD*{Er)(*qxVL9bJ9=Y0-s;92H&uhm)fIr}T})W318~ z_Sxq^8&w|($NjesF3Y4Sd-Birt;onQs-`VrgUvtvRH6@`-_P9lAa76xol)l>fAF6r zRjHzas|4cYcL3t7XP>V~mp*;zZDm$3>&}rXc~bS?j}ISd4a3#{esSVcWf?G_YyInM<2~~& zV}DdCx;}Be@IJ)G<Y3dDS)6H+&jaLA3y_^8r5HP;Ja#hTPWiu38BYnC?1$ES(KTc$rFx)MhHy7=t zQ^t<(QI@=kazdvtKn=-T5~EnVYIRY4F!Q!!C~Zhj)y~m%C!gu1UX@7y#4vmK_Mv5^ za@#y(&1pglp-QUf@%I_~H386k5|sJ0U+iD?x*a=YL`2*;_e$bV!TF*3d8>BKw)ArW z%mspJX##e@!!r+!?E&Ouvy@M5P+lq^r<+R=U_#1`iIQ_m`5nn(Y~Pput{zW6uayUY<)hzrt?)VbvVcHo&u?=^Q8<$CRAgz7yFm;-E;@>Knw?M5Q>wn^<(h&8v>NQbJqpy4{vSFfoTl`7JB zyjKBcutjuZ^TmzUkURS_GfPz2w*M{i#M^f02o}gbh%}Q zIzl`4X)w>%x>m+26Ak)Y@Rg)uEvFL2NkU&qs#dLvt)z~v!+eAc5DlbV?5TY0g3>tG#$`}H!`4&3wuVrmUR$eB&#XMw#T}} zE?2_XEMK@dZGEA91J`-Vxvu}*1TYuCT<(anh6TyV7jT8c0^uF7L(=^_aj$)1peKM1 z)s`+(O2vx7Gtv#1`4uXK57FUWDU6o7C8=1Gq<3|k2b}?87xSKVCEleY(q~bw{tT=J zTB+A~cP;5iC6&{vR*78}e`jd(l^JtlAcu6WYx!$cfjJ(6PJO+(>oq=kt{1P=1uxIC z>s%!6+MdlFcrER(Lh_lo-X0n}#7ggcBz<`v%h0w4V~YUh8a&`R+Cz3iwHiqxrZzOgP2MN&!C+GG{0^zAg$$M2bYNtyY^PL6Uv&8S?j19R7$ z(8oIzi3#!G=#xOR)n0%C4hCX}6-#;?F!%I?>DGp> z+6&MTLX{-*eGYS&W3ZkXe+#b6ym0Y(>)96;ttaBc>EC@lP?3(V>Ri@s_EE5x)GAvm zMK!>?miTq8v~EIMGr%DM%w;f$IRq_XarB&5jF;WCN-$GAQf0hKsaPw4xl*du9H>|W zm}}7b9CFz;RZHdAypa$Oz2dr_^W}iK5qFNO$tI8YytZOxpo6JN2oBbL?)0K-n#hlT)rTAHT= zK_dy=viYsp6r>8(tydd-+8N5U7&ZuCuEi$IgZYF-SUjgCft<>P$(W&Hg?Z}JF{&1% zbpS#AJq&))thq%2f|FFOZs6f^L50J-weDIZr>c(!>;D#1cBMeq>s_mgHe=|K&?I8J z1(-YSnCof=bJT~FI?!BYnzIsDCliD#O+$Kj;h{%+jVCDvwX_eFw>HJV7Ej(sIbUgC zn9Is@+16tez+5jUfHnt*rGOkZZ(|1BUc`JkahHnqRciCa5kfLPB&k|CY3r-OUaVf5 zOItIz2GBS5Po;wWx^x45l?(c-0oJyyTZ=#&8@$AtOG~GvB!N#q_-LRJ4;q;JF7L;XRJ0|MGws%s~rC^)>I{yBN%wIj4MBqhX(}NCN@P1tO_PBp%Ez zk9Cv+asV*GjJR#~RZ+R+Bn*kGYE9a38yF}!Yyb_STkM*Aq;hQhiB!^vy%L)k3X%dbtdy? z`Z&?tgU!7~0+nmfs6FlTHXflpIl~SC%;juC8ngkm`$9|$-&_0AnLZ(tGO9f73%Mci*rlQh_-izy}DO`@;09 z07<*^kW&4_V-+vfJ1@B^n)U4Sc3ufl()ZVgc#k6*hS9sv1+cpKzmK)FGUKVqB_(;#-sFcLv*on3kLO=ovn~d6+qS-4_O;mN z`G8CtawCPkS8v~7$aY+XTK-k;c-nL~&TziuR3*zl~*|~Rk=Vt|MJT>NF zFF*%q)a~od{G(jo$;{xcYYpaPu2R^z=?oA%Bbn3hhfm>rrL)b1xoLmCQuQ1MFc*lU zYU0V6loBB4D~%Ab28peGm}RBVBzg={wYp3nhYN9(XqWNs&HYG|e-$tWfYalJ)VI{- zrk8UwK;Q(iY13O}C39k!@1trJ>D-|6FA0*MGCWPE^^$R2zG#W{MAdd~c)okC4Zzz4 z=HAELOXQhLjy%q0m=LKipTxWKtTU9$*}l}Uc?)1J8O$BK=U1bVXYU#fJN+M`3x4sX zh<`V1QhF;f*4S^!rRbyf(q&dHHHPIC-kk=_p(b-TQM_6!y(9eIItQy4CqCsRm9s#p z;MAb!_vr^7DXnTX<%{yC{@c%ralxcQ0dz<@t69sWAS5h_%g2zKwqquUgLeN$G(UK>3ZmWC#V>>qoFZ5P02RMV6 zj@sjE(FMQ!m*~!uzZ8wR=&RA3(O-zxJpY*}TE2_Dw|D$qv}x|AqAjm}DvG*39<6xp zW6|s}pR_>l%HMvmszqDPLJ!YW-sso8_PX!BRa9>I!o?Nm(M|J37?UIwE%S8Mv8NVE z2x0P_ugtIbjxfiS=Qs~zblNZWPZj44DcRJ=jq`#!Fq8{tLV1kqqOIK)$ zz5sQAyW@WJ^R}E5_TX(@ABv)NAMC!@d?em?W&ch5T&tduXY2X7;**uzwQ}budZW7^ z^y%g!Ki~K{>uq2T0-AFBF40?^1%g;>CSc`hW(^V1+vDE$LKLm~sGYRU9UqQXPyc8D zbu~)V(lEYqWpQBORP3u-!hxY2DAVuaWc=#%m#s<#6`AY!;I<1}7i%bu4V00}`Q~iQ zYAixM^#g`TN_;|*=#4ok#Du$fOL^#~C=LW-?0D-)p+Prvftr=N zo89kvzMs8#%QCYMvj=aP=(qo}M|b{Y2LP-mh@+idXPu0;XpbiF)^omq8^<1RQtQ)DxGbRw~_!0LNIXh^_2l*T6N19VF zfUf_^eXZopr;n&+9v?n30J$~-1lOH>W-4iy04O%==f*x>L^nvmN=eS%T(^ElS(BS} z_DjUF+wOzMA`U5z4+sbe#6Nd+JO3@i!!~x>L+wSrjzen#H z-Xr{s*!*%B1n9x5aH?v@v9@5&0H3I1kM8|-`H|gwZl!zau8jk8V1r8SvA_Sk8y}b( zjL{Uy9DLT8V94EeV6H5P)1Yq6hYdY_$J~2H(Nd#kwE)k6w%5$}uZt9~ym&Y7pbj7> z3}^mJv)YnDv?J3CeX5_7E$!H*mB?xI#k1P>iN8xpk+q%OslWMy7ZBrJfI|Y?CiM$7 zs!#g?6iB(#j!nClztl*|%BA(1=QuqX##1ImIBOvewsJaX%*%W+L<5F z(~fg7ZrHuvP7kGQ*=A39p=jN?`Fvwlt8#)^vjW?d zTpNHngg(s%bM0y~H|_3Sij6B94CnxmYi4}3IbJP}S_=bPop%%_gh785j3+$PMk>QF z|Nh`NP5#w#x=`09%?6n^5y?zzF^z^e4HfLUh6F^NEr(Q$@uS|c*uAy2loj!{YHXDs?T#~94 zz=kOVp4K8!*9Q1FLnK{mSFcH=OB<-z)S2cAp-L)mwuBQw>w#?>A&vx?S8|Xl@Yo(1 zAbJz;4PdS^eWjv@b^~*H0&)WGnhEIeh?2NPvKhD{``a$Kv^$NJIkm%#0-(!yk@X_b zlZyGY$hf2pMFL;~IN^v;3aF8tK~$xbNpgfh-KL+XJmpB`wW@1v4_wA?gt$nW1Ef4@ z!jw=sYClg<(rXSjNaAoz0A$O-SOP%nY!HmKhvPzz3=pGlzRiXsA;`FZZ|WL9VpQ9W zaR74}?=$TL=JE*SnhEGIuqKRxT*4e+(lC5Zl`thX9lf!TNueD#<$Z3t=Ag ztTYW+XEkD-6080WI=9BjmsFQrYj%k7o<24oE2xu{9uQ~b>HoBktab7;z2xAcbI+zD zqndBCOO`p&VW?VN+2|;09!DsI%i=3POhi*CRo^DE&Wc0f1}j0b*_oe2l$lZqed#y8;}pa zay>%ZRWPN{FA%T6zpu^{wazH>sY% z>+AXM5H6;Urc4484ZNMDzi~|~G4Oms$D9(mb5U6ix^u*}M9F(=WBaC5*k==zl{&fM zw7L@1jm9oB$`6ItD`QAvKP;1-IB{{&D@AyhJAM$IiAG|ZbpudD+tZ?@3%=)3uUU}6 zK<|Uq;puW^QlH|b!^q3_{Tqh;@~cs>A@vqgTAhf%+4fYo0U+C5nJxi5{kTWmXY{;Y zJSoHdUXp#fjd$f8J!FDdKB0z;pUZlLTqSh!hyQ-ljU5F|@F9l-^J%J0v9qnG7CTtG z+PTxY8k_p|OlVOCmJxGeHvL>($`4VcJE zlcYthhIQtvx{VYI9sNWe4tHk`b;q+l3S}YtQV|OMef_l-);u8u$DYQ~W9L3Xa@1%8 z)SU3byIeVt=~%E=$(Q(8OGz0|93CuHEiW8~mBXpD7TKk1v`>1_YTQ{|exD`-%%wHa9_~DleM(YNrd2 zhVBfpF>S5-l!9}q&2~f*LgxQ@3ou}d{8*j4!8B`kbB`9d|%1Sf4wi^Q8 z7g=Yrv)eXBd#KFvp|hcgPE-2Z&C*~MCjCHMC7mq-BY(fH%2 z>LJsdV1I9;=-FwUZYpd*!mPLWr3IRg#y4QefDhhUs`O)NzdgiZVc!*b&)brL4jW3` zej=Yxdgr>Qe@glB`wVuQYtR1G;&epk>+7Uf>IbZ(FL9TKZGfdqBh~W3yfqSB_;IYQ zYMso8Wll|IPjEEkL*`zVx$mf(8$Es?m^YI z@84_U;=F&0*f!v#2R7WxZE1}fp!YgIOKE+X65{5)ZbB)nx+y-Zy*a76jqFd>BY6r< z(~&9nu30r218`1tPEBPvlh~cJT;f22vt~RES40t3VD|8c5u-eaZlJmYh-cLYh|YJ$ zC8Q$~R7!?dlr1@RX98@F819hi=*TS=Y7Q{e*I6|w+xR5$VE>p;J5vuIbxIdKa1CYr zmFsKT(ecMqRL7d1TJM_gb??4QXd>W8*RjS2(8ge>>R0iyluRzwk>2@wleNQ*$B+ng z+mS)FSosAZC~>X#L-p8vy(H)aBC|3Zj$qc)marBfw$dNPQocb5A)vlKk;A#are@}1tvN5XK<|BVF%A(h+ z>sQY0;rjb?ytGrI%xv$GGOA+{Gx3l6)lq8K(s{Zox0Y7Q-r9(7#GbuYjmliYJ$cLk(yIIo!bDK;RBL@ zuPeL>XeKL(q+|_^6+S`Z<*U!8hlXrtO3xmFQc*6RwB*LEu2Ivykz^J5ZF7xfsBO-4@xA`#;kb7}Q+ z-4HnOnqmE~00#PQw3?~Xb+7j(Sq}PiMz^s|<-*&s#vMu{WLY=uOVzwf6g3LPV^eAV zK%7>mbOjwx22?O^0aZmbuCoV(N(!+DLmG3}l}xWl<%`jT>acwzGq3)t1}c!j2&E#O z1~1Cg3SGp5g?#{g$;;E>Uu9a`r~1?{FK$YBr?N~NMeBHHtN&IQGg}HG<8vn&IVs*TZAbiyAPNRVQc*sM@&ee$n~H! z(FMoDjA-k{mS}UEJnAl&@WLyVkw_Ll-_A1L;iZ|R3 zzf*_HLKO|*1|l>p3A4eOHuN4J(WKJ+`b0m=UwE)cusOYVqQ4<-+6E7jdud-KL@PWgtrC^Tpmh}C{@k#zs0G49LT(>6mquHq;NLAl$nvEpy! zmGp}A2;UYQI@-6)X~1r#A-3=)zmXQ2E*x7R_-m1Rc_!a5xP5SGwvG0J91G27STJ9A6ZrGQSqgT-G3jk*Ed!>Vhdj2KZH0%(9d0(&U>X)1&X-1jnt^uwp+SWwis5hf(pO3iWu>QwTIAmh zIh7zDEqM7y6+W~^f61L+Y>JZtU2!izDP1pZ>{-OZxCdkgBe`v%ah`=QC!kUq2GYi2 z+CGUTIrCp?^vRi1lTlhv3j6@9q0_3NuAP=GeZLS0HKT)y{J+*WO^~sVqc1W?Zh;p1@SAf zdyWLWlh|jzI;u2YiJKPXVC8{dySrz$MV}kK;FfeZf*gMPdQ8DhSG_9ZvItJo{Pm^! zcP&@Y%`&-Up+NA(xK51h5RMMLgopOqD+)A(wadu2Z?c0!ZKs@1zT@k-1MdLEnG0mWgC5ut>@40|+>Kl)?$s9m#(vQXSQ-MH)|N z(I|7&HScb)I+%S#+5IIu8i6t;RzK=!0@gd~vQqf0o_CH&keDFz8*Buock8tz^Rg~_ zQ-A7mFsdeeZi`I8@6Do5C%zqK`=X0aYK^BVgUiB~>*!a@i@Y(4F@6hl2|i-Z1K)3M zEubE@i)?Nny4Iv$&lEenI9BVDhj8;hA}a&`ZX27cg%WCcvkcDd1OnYq8oX1ua!-%zu5pq=_ zI)}CckdS}iLij5ETSFf1==uG{G=;|H{9>jGVY=!OJej%KG5gsWw|Bj{8iU8(IG?dk z{wpHJ$2_V>oe~idj?{1VYwZgNL!p%Mf4|G4Hwt5^0$+8>=3zmzF5gm1{}L6t4=;C& z{4a@>ci9>fMQk}287`m7R==(<_hmyk|59fwB>5}=Sy(G;b5tO_fbJ>pt_FSnf+(3C0bPSz= znu1>Hk>3pyt5bw@Aqv@zD)aSJXKR;3#0qEBsG76fb;ei}+7&+@iN#KcNcu}vM4@q@ z`P}5Crkor6W5r>mJj9DrM0Z(pS~11LuM#1cm-urCP`B1MKLO|HotLYR^0*tki zGa}~epFHm#yZ?QciN+RB@FruJruJMvEAlBmn|I$*2+3@321`9l*0fe5{f$iH$hncw z1#NI%?b2m7WAHxUp-)zCWT6z7y?2AxOe?t%T_@J3VAJJ?{l3?N@%`Np(@&nhKntet zpP%x06;L3RX(y$g{6goV$%T-#b=NqN&D%FLfs4d^P|s`$6>*z{-=`|Yp*ID@55;~sryuIfU17KvZozf&tiiFcNH&0|G{J~^ee z2&mF+{>xfuN#F8=N|}jt5RHLE-rq&0eLLlyvN=xD66WDfGIwF`tq>_c6`_489N-30 z;P=nAinGhmy+Dwske~Z{Z30%9lF(U``o)_r;u@tVr(EM+t0ilOEhK=fSI@_y$36pD zp2`Tlqe16%-{7snv;x8Pq4T81)~5wNKZH4bZGC20+tr#7jAorD;ZqCTMy1@AvP{Zz z$mT>|5Z^H4A8lQKg0p|H=2@-mK>m257{lu z?i(Cc<~{2^8N~D3vvSJK$$OU-!>gu@&V^B?>6=QF-=1KFp!Z(Aw9@;OS~4wU+zR>y zO-oxtex-~hK8p7em0LVf&3+aEI=RrACvogUH}2U9<0-@#64J<1gAU%%wcKJyW|UrU zRq6+3SN5gxS7`d@7S(sKVF*RNSMT7!2G$i0rdom%f5UFR5_*Jh*}}LkkK0?;d46$p z5BMbT_}p<2i)qps3VTB`}E~$RKyYK!*6XnH;G@M(9(lyO}+RMmlzYT z#=@SA0&FAHO}6H;5*&OxG0g$&w-*|b2*=fDJ4?Lq&!+S$PPVk{I%p)k+j3ciuZ!W> zMhj?uyK9mHD0xMJgiEqPEhyt%acya>{uGrs9xb20gyYeSaEE%!&*E{Lew*#&`hRae z`mGG?sbO?}&=f|;aXj0|>k8=2bN|sK`~;e5Xrgxd0{G{&A}Z)FVCHvjfy%Id zQec0DGUpk3wKYjl>{kvoq#R>i^nT6z^OLH~^g{;<`kVhokt+yQm@kwXNFbZ}Nf-fU zReM)oUZBADc?(-~%(L5|XW)x1Ep+)?bt!yC@H0e9wUuf#zGLA*_;M`fhm(rPtS*Qo zEY^jP&Tnhuk4r`fKR~159(w(o4QUfpdh)xyPn57qtj4iLbVYgh$s2i5<}h+1v79su z4=3}TIO&C;={P<;S89ss`ipRyEcSmcHlKK^R+-RSv zX+Oaqd7@=qUkx=1#@iBZTvdo@uJELajeGbs0&Pa&zXY-WrM1-|rZkUH1Gc z?z+4hOt>tcI7|oVyOiu>%q(Q{_PBEjO$K8ORzvL5O9@&C1W8<)uZa;l*+~LOap zNdb9a{``bj)Qhh@=(^71@m_xc{Jcup2o(nYw2QiJ)6@%q&kq@e%dsJod{7k~B$8XL zIyu9RAoQZH?)VQfm})bt6U;GGm=Em>De<{tUkFnhnHcrFY{HJkpdChV)bQ7Cu7f$~ zvLU5%+Rk*kI9zrP1D$&lMK#)&p`@D{It=}L;yfw9t<|^S5ekmHjcs(B|#NPVTLr{2Cf;M1Z5?rD??efsm@lKV<;t<_MSjSmML zO_Pjn=4bX*5M^11*P;bf3$a1JJ;JSWQZs&BA1wv4nEp&?#YitewLZ7;PMc~d-RW92 zo%N`DYj#+{>uNJ@0Ge#@<_12^-A8)G@ksl0tDf~Szz}PaP{MUSmZ~vzwPG19TSlMg zBOFviT{J5p%od+6>qMSOw0X|f{mtJ=n2TWEM|58}UHvN%0} zQdT}cyZxIIY!)(ynB?kz0{11M4`8OCe%5Nt5LTk=Nl%%9+A7jcp=Z_@EA z|3arX5o5{Yz%bR;VjnhG3w_P||pBbjD{H4@=_$8b9Wb#7A=>PpaW4yoOAWhS35qU4hHD9V&5A ztIudjzAX!|NK2&SM_nD_{|^0}KHDF)VL8_;Rwvg)uJwzAg^$6#odTkNIcr_^<97z{ z$-AQKEI9&x#g0-;SVki}bgZNNSy86Zui!* zqx;i>-AD;Zl@|Ch_uJ;`hb(T~aUQ20jKnrvQ0zBMV*G{l*Iz+Nx*wMJ8MN7*w+1YJ z>IHw#dO}jkvp+LBZ^-c%SspIRyYu0i-&F2tUSmcS1MpjSqR&NVJ2oS4h=_rjI9OmI z=cJsQOlIM0j==$GHt%x2ucJQA`H8f)aDcRUNnTb1N@-JC3i=+{&kjPbSWO;5m(zpUY2%zxXeeUBm?vjWQ@vsKuSfjk zz7!*(*Bt9TJI&jKb?xFH8$)p8Fuuz8$cB#X#($5|8REpj}iz-M#4ll7^=lNsKR63zKDqVujqmRX+ph_pW zWeE|p?ya&xg^>MWHPc<=2Om%!bF1#1VT5DUL14GjY7ebt6;o3FfGt|ngE>Z%P1j*g z4me43o;CHCvpH1U`rt0uH4QAI@x;{ZI^KqUU>2Y>4ggMlR0nE-ZAr2geKk5S z+&TqZsGDyPu%0xD8$uTS=D~JZEe{nnh3VT}s{!+f<0?bNL5x7iq^Lirr7Mdki)|pf zfRrj|?pV-%?Z@s9G*w&m3O^H!#il}P=-iN6Vs+AoM*a(bhv0_H6Qyy)YDP8CEo8ET z=AXZ`(oIqQg|j(Yzv!)>cvo-PqB@)^uK*_ghjfXK?SgZYk%KiQ=Z^`E9OKeDi+QQj zU0ER(|53^P4_rHN=s4uiq^J}`ErNgH=^473 zz6%d$&5K1VUVQ4mX$mS9iX{(2+&cXb(>XtSh4yBt zq=j7$y&Ne9pQOuqJ^oxSci>*EepN&l0nH6#1^WPaiqt#23v(Oosba|S%NGmXoKp=6 z+5I<00*+AlLk~{{Iq}D7`HfZ9sGEGDp(r;F@*iIh z?9T$D+>c3CiGG6{$q6cc71i59GlWjU3{8x?7oYv+GvYn{+4;2dY`>WeG!IKW>zTz`LP4pVs=X81Xn$Km) zV>tRJMCA`R&@FX(@8cNC5q|@W=0qvt2o-34PuGzs-Btt4&yubC_EOrLey!%trszwg2jZC+g8z0`1$uA-Fow2Sd?^XP%T?}l&}fYGIf4A ztR3c|=U_f9UY3vQt%|azC!Npw-%hxI{C^Wc_Og% zkiOS>v|JKcy5f#t>)#Bss0tlIQQzP5c4sx1i~xsSjdfKMxZgkX5%EQh6_4h4{&QZ9 zF#&;qZ4DE$ex575O+-3-dc=cp{4zNJui3eDr18E4TM}7(WJzq6Bv!#uzSl+E1$sjj(Y{iFS%#$IB0~ovI!r|??#&pjynB> z3ObHQ*>9lMqt8)E{(L}yIN*8{$y(Rj2KJ5a>5+r(oWHcFA*?HJT z+N;L|Xcq$V4@Kb=pv#z;jx$KdP{Zv*71M@h9sm7g0sYrOOy!(#i@XqpnT7w4uPbCm|DZ~bHHpm5 zsMTb{Y(F+E=)i?AWH(Rbbe-Lqc1cf^r310fp(ZzXG>+}+$_=%;Oc~X1@kzfQC_JZF zU5C$uH5wW1j)jd^(*2%`V%d|KK8Z3M{uO`f#EGEPqey91)LxGb+moXTGY{XlKl2GL z9rNPb+`5`6**(ncZAS{)!&nuU1nW@FH+b!ml~&Uy>msrQ%LnYmm2v+b5P1S%)S`K$v27iOXhcJ&bQUb6wRlJm=fQ_ z$knCh>YNo`n{2R6uFULlX8xaFsT5qWeON(l00<-JY$u?-6PCa0 z5~u`^U^@KpZ}YW>`Ah9u)`!qo-2_%L(RxJTPqB)vcG4BK^q^`&Ax`!3D3E)9rwhAK z+qd^x5bVbD^H0?Pg|DqH@+0)y03aU7W#UnUZt$8-gz>`TGk$%&;}AFYx7)X3Iw{ke zHX_%ks3f&!a~=g)noysh^qM@k1rK1yhqrd28a?I~#P${F`bzfE{2h_CGn^7!q0_|My`Sd{YOIa%>Qh_E_jHh2<+m-Rzz! zPr%>}BLeL(P&?;hpH;SABZM=?ekdU2MG`x*wk=j3jt)#7mhURE)TbrkRKt1G615Mw zn}lFTp!6v;&4u2ED8P-F@|a@hjHExRBmYc<^zrHAfeQ5o{K$sC$4I}=g^(&@R)8KT84T6+yHvN!}4|@!IqKg$y+l<>G#I;o|$cdHs`c44vr05 z&^+|eOJlYjBr*I38sFofss`hia?OAX3T5Zv;BLc}St!nfP(+ne5 zE!3S7L6m)YUt*qaL*j;PR0@$TF9Nj(-%hkWQ&yj%%X0Gy*u?>~`zqOyH1WJ&HziN+ zm0^$6>NQ=ROsa3YFMWri=_I!ylW5J^A{k_#)L3o96$7+BZU9R?YnZz5axV6Yz(uX7jBgZU!*{w$@vr^)mqWBV|WY*L`)u?pCW9! z+C&_l#6j$FcP9k~pU{XCV23(n}_Uup#>uAx+Iv_!nk6Vty zF6Tgv1UvjHRojw~9pASt;9~j^;KG$D03QxKcKVvPg)O5hPbNjwV%L}dWf+}=xls-4 zWLU=KyEWPE#k}W3%Bxz8d8V_Y*>`lXiyXTvdYg;&8`o{*$!h*oQ?z5&WQ8jm*B4Sq z&xPAXL&|w<4X@(b;@#CKw2Ls@enPdO4Xzk2G93Q3v!7v4y)ce7->NjqsCa&J=WiJYhA+t{>Kj%fur5!S1JBcYEQX(Nvd3KZ zmAB;G0Dp-#-+8O_#8nynFv&6opLM#>Q6Q-qubwe0O8)l-3?ZPRq4b)~De7sth2}21 z?iFy{i{I`+Yl|#I?>FN71i{sa)u5}OOajnN7ve9x%I$d(td2gSch%*+X)sgFFUp?S zmR*2WZ zs5h^wY0MX-tp4{VV*i`wSyAM10xe2H8+$cK0RKX~tuZr20qzd}k#nRby&3J7-;Ee0 zxTJz?wM__9Kmwmdn}ogFF!V1c9&-^%~&u+yXESMO|uKF5^HOR4cC(((zL z##O1EIyATG($*K<^k!pl7S&NO9boo@+Yk5__QD7j4 z#hHckcmLPe&}9{k>z)EYzNn;;``w}Gl1o=cDm56vT}xHvcP66|!hejQF0oXPFhCi+ z6^_MVP+t9%bPxOFW>ZtxJz%?f3HrfhsjI7_Li#)&M{=pSdZLvwoImpXWw4EL`Pv0^ z%K$D${gpn1*1<=Wnw_2w=mf@=&N!RNsTnqmnP^QKjCHRmKES?;Y>-qcH<_L5zSk5X zm8^uWRbPZ*p(y*>9SWz?DHBF`QW8TqkL)&frj0c4(s6JU6?Nh^f12!17C$pb+D?U` zpUIDEXg_Vd5A-JWIDq)Dr?wgoD#94v%kr@C6H4ukRX>Qb`h)n*975@{lG zOX-nI^{X#rea3(i0c%hgg)D>c>te>N1#21Ep}YC;EUBu%90JY3zctDk%6D?@2G+mW z(-FyQO&xQJAEPX%pg{rK+yR~nbqfZ;Mu_J%kAATHRAz~o+ zeha5@-r>B+vO4dBu!4aScC2IkhmC80&4tPB4Y#zq)!Yu(0x!72dIC!v&j;+^>02KE z6LYPJJLB%w=yX~O$kW;WvK-C7J-}v!L?=Z|qaLo&MU)aEJEe?#n5_GfZ4OQt=;PiG z9Yq-G*~j)3=|(iN2{Gf_c2ZT82bB~ah_9xZuSx13(Rhn6bW{!ugW6oM$!Y8a$kL}j zOhvpVk!UswCnw9-Gch6VF}HpLr_jK%)8Fzy=?LLqXHyyao+ORI+16e4-#u*|scx&4 zjJMz^R}7*29f40JHj99oY>5=@vYuyg-xlK|fU5x4B%n#0DilSlRe!*rI^A zw(hjyJ=9jPJ)u)(Kr>dYRRJC&u*6y{W%^}S=|vt(-(5EG$MM8=4Jp2I{;l&}(F>pS zgB)z2KZyJFaug6W5+2|H%{48y!F2zCP+B3cBE>HRYrVzI5TtibxID{4<|Q4l4=*oB zVONQV@~7%v3&fYU$Z--ZgrYW=cEI{#0es?MHrpyb5@Q{8X5Mny>X9 z?tNpXJOh`m!G@U=gaC+bSPG4Pif0`#QoSEBd_f1HmL@~h?^)i^8?umgu-ZhO*yrHx z=ozcd;p*iPjBLAu4Dk{LNtT?|tA1-BgMzo{8B~1Dfq+f2ylPA{f*Oxpc2U_YKS&He z4u;#7^QbPrO4(?7{@Z|W;O#_+$plz zc6RsaT?WS9|CZLZ;f@guy}YSP8$d;vrv%$tiXV=dvJ0kCNWRqHmAGSM3 zW0vUyJN1o39ylHnRqdy(e{WYktlxQY?;mB%To-Nd{s!~e*BOz^vAk5D3(=n@uy71P zfi8)v3}wgGd|iD8RalN>gmvI?{2ou#f9ryKS5&ZzjT!t?UrzHyDIB0V2 z%3Q+lUmh207ms0s3*Ozb0f3dKu-0(NSE6HYG~N>@c}=*LX4 z0v)bc(zD5MEq)Mx_Hzr#wlNpWzVp}Zb!J+ZdS_ciB5P=XnrqZyeoBOM5@r1_sG}vu zr=EzNUd$9q6!^#r4ITlBS(c(yXM<9%pWsO%Jv$J%2+p4M=6xaEh#GJL3oz9_A|*F) zx@xfzO5ZDaT{0(KNjLnK}A&ECfZ6Qedh@P`Z{I}_jW6K6F5ba_jE<)pAZp;gl+-%R2u=&8H2+uG~Ac$3HvCh_GrFhDa>p7;B`}7qQR7-yMD}T6b{LaC!LU_zA$Ld2}cEOwG#9Cx_0m4HySLlm$uRFPN zgg<=(%z%96(==vpNv%eA?NsmKwqcGMmtkc}&Ci1y*u8Wpdus#tAt=um`}Qs^E*vth zhx?yVyoDbi0K}k`h)^o=JGGd#<#hZA#b+;jPZ-6J=Rb`PHcKuX*~@lNfQrD_PfuRZ zCZHJ~#LvrRxDC54uWE}OS)BDnQ6fP-^F~#bc0MWvu<;Mr$Knm_HY%R)XiPivFjX4% z@p278zES&;xd4wN7mFp5$PGd$KcneoIX3M@xmxfG5uTgsVC6Zfz{BXF6JC29eHn0~ zil*gGICT$sTz zH4Og;x0(=*#iIj?N+pv3ZY1p`m|=>u{#ZHT0XUP0A+I#Oj0PDe3OZtj)8B}7u*PQ~ zh>jghKG0C3;Ks64B3Vqj^HR3@s!E}qVKydDda$0$z0p|Iwj7icOJb&@LfaLO+4KBQ zf74eU`asm~j40Y1H<>8si=6-HNYqOM24D*kd>397>jH7{ON|LShdOONjAq4>F@7A4 zptloV)lx5cr+dp<`Q*UpHJ!3X!NB*q--XA1NOr|c$822;J|0O!b|+C^L#rr)?3XZVud~FQtZlNS0nQ zWYg8zK4!LG4z}Oa*FIPXIR5huj3(#V7z3EJIt_4l%x3@M7f9Wtt;AqSYqGJ2xrXRv zxq0K`qpCj~yv#YAQS#5L3b7njn3If(oFGCR*ooJ-(w~JPZ_*hPvEjGL)Kva>`!<`Q z5lWg2TIKK?=ISn>d>VF{E;(F}|*vxCi;!bS&Clfc?RJxA|>f*v4YHs`;~|?+LN<^Iq;5aRTf|N8U5@ z(XBlY4d6gyIEQF%1LXSj-SkMSxChtLwbVQ|l}*pidmntVxaL>?#i+$-l3gRBId%X^ zBiSQsd#k-`KX@_KG55Qg;iH2a;JYRxhmt7!!AI-OMurm?o+|_rct5d}rX^0WK^X@N zJj5yd)7>~!mU4P`uDVUFu!yv3$jkKwr;lXUb$C!Kl@tZUZCgX4umuGqXm{r|5`$r4 zN1S!WG94Bh_WDz%zd4AGRq?4(*wkqA(~Q!K7bJ}S@;SJCY`-%5*gKxd|K#i2wCBZR zo1^pARe1e0A}96yLo|J@p}Q8za*5!Oii5xoIA3`=Y?WHM4bBKT)vL?Dino69MZ$TOPP!?|GYNutkdW=KbW2XCd z7xq1<&5$~UdaC|$eQ^EcYp0q_;Z(k!0`Z64BiCQmOy(8RqVhrfRwX2)(H+kQY-M>$ z#7U!KyVGcSO{eYloaqJjAYF8(qQXMIssG@oA@}?F{c#b}n@+ZF_itfRvSnueC4_tc z(>DG|>a96N%=Q{8Yc6}%w$0Dn)%smSA_(usEa8kF-#Fc`?M?<670n0w=kKHW?)h7a zo6?4_Q0>bpzC4cRXau)8dN|trhi)2Ai`IMj!?~}Qf8}>Nw-^!EwuihY6dlJJHk{?9 zPTuD>9O;-Y*^otsSI1-_&kIv$k8LPQv_|P)w69`t+|laE{g`gr2~7__jCU1&>uJFq zedR82(YQf0Nq#KVV&FP>#2@W0(P1C{Oo?@!fL;uw%g3{5xc}8>u`=FfFS!sAqt}K? zQW*uU7sK_&J-O?>Fp~nzJAb|&fl$(+SBlnLjrMh$*$da9Fq`%LhvW3W?!=J%Bl(rP zp}tgQ)`5%=jJ~0cT{jE!8>s6lRu)-Es5CT+R<)eksHGXr}d zN*-I*Eq1Ja zOrDnEp@EB1OIx2nbQ(^crk<}v2!LmYYe3c4vfS|Bxp1gVa*)D{Y3i$!`c^w_EZ4Zs zH_gD+KZK;1!!>%P1d!N(orw{Gc2~LgD*d!aG(-$yS0!^p2A;|B|Adz|PDLFwW)1PU zx1a<$ZcqjIIkWbgqVE8*MB!`7W(Dm+^j6~mWLf=(*GvcG6AvjB__IUG5XFlDv?7t&3@Lv($9&QD7{{fD31Tx|uLea2ZBGWSUB*kwJ$MlwS_EmG{P>K4XnPGdfnt3 zRB)4he~X=q!iYEJ3MKQ~)K{Ib5o?>eNd|iT{V7{U)0dRjGd<0_ix#mPt(kvjwbf#?yfPU58+JS3(gyn1tHn+Wf zy^wk)C^Rw__J`-Z30KK8qje@@P?Jpnei=CkYs${96&060gYXcEQa2aPB9IWB$#7x%-)t7nY&wKQd2)BqeoW z;~&On5NW>g9+V<(-va51MrEyVPB}2%-)b7%Lgf^4Qy{TTMsr1ku&-b7P5Gd6hzR@b zUPyt%zVK&h4I8b7KpA2HXaR)&k*Qo$z4|5RtJ?I~U-5>6n*GiH4f?C38wWDyY!nq+ z;x>8Kef$!hv5+H6`RkU%Q)m^jbfglb$|-^;S#?`KNqqQ(jtzamy_NZjL)H?V?p&$k z`y#NR12+|LNV7l7{$P)Dw1DtUu!#;YiJl{~ z#oPAP9^o@;c~(KI1=lOkheQ}qzpgfEU0h$%i0Km2heZ-n?C?St^1c8=M;}Jr!2ek; zQvemplnohFgfl8rkHjCdEiwr{CcS`Bwxwl5m)awDd$ESAGhTb{P6LFoU0~ILD2Rav z@8+}#BmM|TVl8ovQJje~3!a+2$_MK%D^DdmU4 zVTJ8=RS+wpQ7lLQ{g_NUqrVQc@NDMk1PWhmu299dK98%Bjp5RabrWi}KSL$PW0Rhe zR?xNur~pU8N(0pFa`sy-c|AdABEn3@_lL1IE53)vfPKE=8BLH+>G#(b%Fno;7ILf` zo&TbFn!!WrCr`I^bC;HjM|wuR_Bl>}hlHFr$}J$9ng|=B+FNk0*Z?Zv)F!{-OORu_?=jYNC5Iv6^BsJ(w=diyw9uM=}{xe7$OAMNp zuu641`2^x;3)tbJxBpJ}zyHyU+AF(3LgSTSsl_PuCyCntj!}d?w9g0mfB8sr(b?3! z5y08HQ{B^RwcU%(U}z)c=Pj!7Y!73MaNGoS6MQmvX}67{{sP@<(gpkVESi+7wE@>` zU~t>b%3ok>6}II!E7&}Ny?R@ymuw4Tc7sXLtP zvNEAN2@PAl#2XAL=?p(OwltI}W8#WQ=JO zC^KD5?%zOvU$Upk|E@H?+5s}n@)hb6kjj$--C-aAWjHvC8!;)S^H;w~FfVnsv&-oH zPAw%_YoiSDj|b5nXelLP!Vl+P>tK7RVY>(m)t&KN2t?|b!1ygw^%zej<_lk0+W ziH@LBXC-tJ<|AKZpxebN;3vB41p8--Fg?jz=d*2W+LIoDwDEFhpd^}W`b&+o(OIqL z%~$+e+E_lzCtlZUTr@}|rx>PCo1JK|8EeZ;7Rr+?ExYIR`Br!_`U>s83AnxcRG{6# z;j(ZK5fTqA1!EJ4J%2H$Vma4YqWf*WVJG>s9ob1V4M+gVjuBN$bhxaic)gtVDTL-1 z6rLPn1IR5^pp~Fkc{E{@%qDlemK-iivTXqmUD_j_Ir+APvhA+_!3Z_l)C0z_hg#R@ zv?~G+pp)}4mh=#GXa(>Q{J5ET?fDmtGuZ1Jl5#WdwjzZUV^jZ60zfghdd&G zzeBxysoZjJ#V4Tpuh~9t)jEIV=HWS_fBWN~DJ_N4ZemNvPo7XRao{_J8TB+a9|uS# zJEDZJPsbf#l8qNue^)#6dpS^^GC~O4Obm{lhF{gyT2%_Pn$vZ(KLbKod4N&CqO5aZ zSZUj}$d;X*h$1X6ZbV(+xNl&+1Np2Dc^NHtopXP&9%5A2)vaqML??s~&1W=7V9}~- zlKJ~o_PpoYMQrpz{9ET{Q#n%SL^?PBxsFs=EUr;3EcHv3!Rx4Qml15Ju*}(26Ev;y zChvAIVi-B3ce`LUdC2y~Hs}3YC%NOr-wvy}btNfXgI9H2cYh(BtM%dh6;l!Gpv{z? zSCgo?C9*1bNHa56uU!>TT$e6WYWhFV|Ia>Qo7Cu^L0MS@okaAJT$(NPh43I@$1)f> zKnrpzB||rvABm^MO0lvd5ie7uo(s_nRW=aL*6i%~^;`DbaJQue1-{KcG}TnG+04ag zZJKIS)4!ibA9e?m#tQY*6K5$!<}p8~G_w}QiQwNz{K;s%YmxN+ts_RiFIF=FYZp8W z5xy2}Ji{?shIbR;{{2@EN{6)-VAZ|5a`FBVPw1zoh$Zd?|H!;Me7|W3@9Zz+o%a~< zK0sWk)Dl}yUh|CDJZ46+>qTHvy4eb8>B5w%5V5)HgT!LURFniZ{t(x%2;ztJ%a0Xq zr?-7fP=QmfaAVtOayD{kO2E^!iFJJ4$l#yC?JmX=ONLzpcsfFWmt*dtTg$lP1)>#PY zur=hQ?ks^5b}){E#9ineeOzA8nrlNoqW{VX*mN0rkNDL}_M6G?$mn%Nt+Vi|Wl>jV zs%&1>suwDKq5*uB6JHt4{Qq79T|Hv|6Xl@KLOoeD&`(v7c6CotW z+pv0{ga7-!hM76>$E;Xg7k=RTf3Zw?#ux^Cr3BOVzTLit2Cm<6?FlwtiJvd(doJ+M z%LH`r=Kb%US?DrOUYz*CO*xNQ%quKkO9LpG=b+Oy8$=gopZZTu*I{KRfbQk4fAEIY z^kdpUU+9(I!glxsJZ1?SN-XLeEj#<28a-;lMCmt7Wi|_B3b(FHXlZh1+}P@HhBB@$ zYPjp#fTQR7wGnjkP1+X^@3n*VhhUr8$h0M+2UrWIGl_zul%h?Vr+N@W`EZBNdj+mO zZx)_Y6|nkmUCqIoke%LCVaMC>V?A5Csh;ewl;KAbs6L^w3$yyChe;2(Jsa8RywS8e z${_RJ=Jt1kYyQ4`hxl6RgkuWn+Wf`D%o7vJ&TT-~&~|9-MY`|QCmoylO1y2t>HEyb zH}`~{6uurCe&waF=$PO3QqfQMRsrMU>cH_LODl5%lx?K4G^MXBq*Tzx$vDx!O3x&d z*x0k77b;r4FRTJD>C4^{iYuUYog%l)Iz{hsSuB*H89%bC5JQ=e>YlA~ZD&8scs|>q z;KT4&)=#Qk*K1HaSJiyNCAuu8yO8h=*%m4$j>1YGWN5B>{pD}!$(6xSa*To@MBmxJ zPJJl4588g*YG3-)Mf&`5(I(jC&>-6-9SqI3vD=O9YA z64FW|-QC^Y-CaZH9=?0+?zPvoKkR=1Gv}N+pZ9&9`_`v{2%@7J7fRA=4AG^HCr{Oo z12pEn0yzQUJ|pp+af#SpM0cYXg?kK?njsGN`^zgtdnIzCt7;vtr(xwRf6?*fBz8FruxknjrHS~ENJj2^R3vigFltW%CAeaFuVK~-9^Xt`USR@&hl${3EVLEU%Im~reqn7yTXdePGnr{y?VL2>TO-VjIBQ+@}{0Yo%dnZvRk!3S1{zqraG|{orBa-hYVf_~g zpUD8waOsn_!pPF2nzOhk-;qDtMrBu)Z-+g<=xZXz)fZzY&T(Fx-FD7W)B7@*nMjp6 z?Y=SBM&|9+Hw;rCHKQ$(KQ(WEIL$3Cr3lJvXy?fC^}gwiUCy4A7_8M_pc-kg>No8_ zSZ4pt5_x3u8SCrHf#bfBdgOfr4 z=Twq)HFk_3^tWKe;FE88F&md4gLO%6(XSwQ>FLLztY(0}RhGR#Bgg>W_H5Ldczuv~ z^F!g1Cm56SZ33B{mUHVL<%PAPeSP8xFH1%4UB!wkEFj{H&+?|NuVP&VNcd6IxOi;P zIw^chHH5E!>6P1b!wq!^smaZ2*iu>+*^*S`Hez#$WjTX{S$*Yj<)r-%$JjZ$BQZ~B zI2@WE?ErB9OecTY2_81x$tI+Kg3FBE?PI$CnCwPVZ`Bm2wyawjWp53@u6}W7rM26; za;o%q{hxiDGv;?+EXbUtJq?OGg>Qk2c$uc;11ys4(kdhqbgaI`O|f&L{^*jOxU~=L z-VBOU3Yhlz9*t;u^s;xBn&Utcv^{!aCiyL#yb2qKf@`YPh5{mP3MVXMQPQ6AqT*(S z2U*wVN+Y!U2V>1dD+^MbK|u|M05EMyN^-y-6N=8(c0q&nP@TlPiQ0==JHYb7FoD)t z6X*$vz_b6GW*apVhGWlp)=k%i6&4c9kwxpgpEg zZ;EvOyUo(U!QX(EC-Sof%aJ5}A;PBqq_6%~FaT@a4q?AbNb{Yxqh4iU)iICs8Bp^x zG+_+5hO=b0Hd&Oo405Q0gZ36SbDt<#yBRmbj)EKnRvfQb5-yfGc_CkR5~?&n94?tV zuY}nTt_kw>kT1wdy#man-Y#esP^^<2dLIVC`UhYrn@$+JFK`gnlCZkDqgYUR~hCZ$r%kxC;Eu-G5cA##63+yN(+@5@O;Qk*_5 z>J?6T$wH8_Eku$F*+l_X&#U}|Oj?-GdMTSFrn7GLhXr+7@>4shG=D>Tu+ht*=$5r~ zSu^s+;X8i}8ZlSRv$VsT5VCQ8&1C&A2Bq-tJTbB96{eUt^?S7UH&;yTB9@Cj54qQT z;>(3NeOe6{iffxm8{E>E0 zCi`ysx2ceV{W9OQtB`Q7)@Nkf=Jdk;QaDBt`ie7dHXuP=Nf*SCRsYx zQ|r9WnwU~D2|GiBm~Miv6&Dj#huqK8F!xH3W$qb)y~b+8Al{VZ%I3kPP_B-LyAj=zeZSMi0s2Ij!qA0~iA*v$fQSBx1epJUw zcq)@p@-CDV<{y&(5wc$j%43-+HrCj*{MeSL2GQ2EqGNYRA3M{DXxdl`DTQ^(`Ddu+TvH5h#v@Rc1414RZxLLrI4jvjQDe3OP8Cr zvLNH-jsf4}_a)L;OTINnHO7!l8~Y!Q6sg)wU;zdAX#m>7^n8knF;;XUw{SU1J zQ6Y{jqLBDo`uV`46hqYIqPT_KI&ka|mElK(@kntN(?}loapRW$@W6^~w%Po31{n&I zb~Ky?&c0z@-;xe2GSUgV?Y1xXo|{@Sv7R3UH%&vPDGshIj7*pmw-AUoB?W=7+|S8E z#YP~kY2z<|F$^+?QnjblUO8nIeOprk()@o{Y=iOej5O$Uc*YxS)K}22jFN&3jXz>At69iH@;;xTQUa?o?Hn;qfPQVh_5~(W`B54C8Gx z4&k>ySeL*zpBpi0L1=v(6JK}irjyrnxT5S}+FEYz@9#2~uSiY7pVl9L)~LxP;!&!z zAS1GAvUrJam=0tq834yrt@mtcTx|OMkm{yegQtiD7OSM2O+L|`W_A(%jHevto>v)`91+==63U-_nbHcr<16;86d z$8ov0#J4q-GNM~<_g3AK-J)bW?XwLqZU_Bq!(Nj9l>IvM#yUor=gd1H`-_k=LqWek zkI5?CfQcM1l-pu{u?&@ZPkAq8WXXq-N*~v?AY7f#0##`?6S4MfU+Lnl@{&e=I8CJL zBUuYwo^QX)n)iQip1%p0$602muN19DB7#$Rs1Zd&`)q;lD=uPvZwpv~CS* ziJnc^Pk@4NG~$S5hTEM*f?-Kf^YJmqKI)=-d;ahS$TfT7$1;YUub5|rL zqiGZ77rnDb*XaJO|L!XgM31F^S$E`R;-TDvxFP+Qc0;^aQ|(W!glEjMl~xf*vbo`8 zTVlzD-}JZuEl3(jwG>*yGt@XnZ_6!X$!8t{NBXcSHiL*JOo`y^NIvwhkN|SniP)o+ zm-BLd4U+g9kX?p9ktH-@*H<2JLfZamXmzm}cio~G{mycOfuEF61Hy1BwFK#p>E zPOeAKz{u7EHk^)IT3o`X)PjjDxdNZA`cXq-;P4R_QWSLi@+4V@ow} zr~Keo{;jw?Zc+dB3KF)*q5?&kS5ae~e8Cv-x7y#FlQEM}{pyJO>GAek-Cy5)XJZd! z$Fo0fGO6qR0Gec_akB#_wmT>MvE?ZvIPGfXesJw%WiMICapAeo&X<35@xZM8R(Zqa zl)@OQVkABldmR;w)U-i5#&QDcxUBu_zg?r?cXs622yoG+ks-(HP51pzGShp>5EXUDtU3BNZWVAK7$AVci29dn;v z_H11KRc2FB-FrVPyxMI2By5CeFdk?sh5HyX%Sm+&VWNId=_-DoRC&LnUF^POe5&tbXmfb<^TgW?lK@jh69mnyHHSl^2SKT7xh0yHkX%_;rAcb}4#l-mP# zM=^w9oxS%gi4B{FZDZYh4Uht-*co2?DO+8gOJZC*CWKR3eC*f;V6GDteMRXp4vlT0 z7rRwgTII-(m`F>unHn?xv`u1Sr zz$MU|6G^LkD#sbKlPhWRtFVMLF*{t$s_HX zu9`z(EIv6Uqpq}fy&WeY9?S3mb*=FlCuDyDcu2t0%@50iztkAFF4AL8uv^&(-b0{; z-SEHBE!)t#rIr^_;`Q@w&pU<9#g$A0j0^)9U#cD$I9AQ$4T5*=xjxFFm`Q%9L&FlO zmyZ)T4+P^>yH3!H;DvmSRMI-n{BHtqfUz2jMjyzW_zX+$t#CN0$r%XXA%c~`5crmJ;vL&q6lTLpWZm-#=zis3E$yYob`Ju-#>gZFs+kS30`qCV$^slG zp}&ONvN^p_O2=E^-NCkb_jL?hYh(GQ@`wVI|Wrh)j14`qc@6Vc$1uL=2N4qyYh zbRxYh#sa9{&A3&A1c~ru7RqVr2x+t7(Tg$ZYAty8^%311-_T@LZ25Uf54S+CtQz$P z?6l?Y@>b%s`*PZ4(=W}h*I}?vJT{C9uP7v1!|apM1i4j5aY_5nh*z!i)E=!sAk~TI zZ4rJXO+`LBYlYVE#`nBt81LSec+ds2y&JN}u7(Go2oNX->ET<7prgM~?&bGQM7Chl zuLaSf3d!93n+_xtl}S9P7Yg?vm2o*#k3SM(Y4IfQxJ{>UIh)hZ!~5D-`JwCto`;CN zOO68pGLJc=RFnjx2?*O+B~_!ACBz}?folY#cKQ!%Cj(5-7i>bWERk_%<(7uJvg0d{09hF-#HCN z1MRSne!VG-F+VbT%~}7XdU`Xja(yuux%XC!TmW`onJCaA-OA4RiDa5N)HL7Km|#s6 zxL1S&go%kKv@Wr}7PzC79iYqSGvw#DcFVOKn1n25w3q3S)-@S3Uc2_J+Cs$l1ufw} zkn&23*sbL)gbkzf+sH=r+0=e=NCwc%f8C+gIkC+2V4IUw-AQO5g!gz(KKPIm%d+$q z2|;z?`nTW02TVGtfI;dO6W@!Fvx=xFLj30=IQzHs<-WZQ(=At?W0Z1td149%a^x4n zYS)O!&!P~bB6Fi$57}-daR#&U#ZfliO1Ry^tg)=!_ZkjNaUz!24$=!cNu@M@&3%fx zv1tK3kkx(C0CS>E(wt@q5`^t}s!S`O&TIK{=D!m+3#@VX)XX;4Yp(FPW$ODs2HW+l zxY!d^gKxi-eUnADez>!xak_dx_&oo-pC>7rst(#wy)E}BUHfT%kazNwm2;<9l}%C` zW8pdb`}Y;Np4;^83rjqL)U*>;uEweA?RJRu{7>FGn@<%gvGRynJz~YfByQfd9!XVt zqp!m^+MZK}lJPoA9!|Y9V$z3g#$=f?0ZIMtmL@u%&$|_$v1r}%)#~*&mr}I;1n)vI zT;f7`-NNrcv<#%+pln-6ACh1+A@nN%2gjh_=;AtX zVmNYG)?$z~uakiu^=h4NjPUrEU6w;@B; zL?RhuL)^8V5Vr(A9U_&=!r?|LTC7%b>?v}Fr@h6M|H9O zyxk#dMhHnc5kvHfMr%9>9$E$xPX68mYLuS8Xw4Avl8*-2uqk`@`$oMoWNlqc$)58x8vB}tw-njX`hh5QgJ`nzCU{*ATUXyLz&`CkI$R<7Qj)MSu zjk!$15%tl8>G7b+V{u;8C_yYQc(&4?q(i@5@mWDl&S#WngraSdLQg!~enNweQTWSl znD&OfFU0Jkd!HvhC+4%+drv?=#2P!up(0P7EYma9oWRVHWW30X0n%haE!q|tZ0nMH z-~gbmpC+GwHM@p)N9_(j;U=RHLNWP^bDj#*^v(*;;PBaJZtLb-4Y{r%w%gSsWv0hP z!&ox(ety^8Q8vJJ3VN5xs$|233>xI5mY(P=7g)Kd_(WKwiA8VWV?EOr==ckp@m0F~ z`fPN}spFZzTH08I1r_6-e1JfcKU#+PmprX8Pk3B$O0pKBU65j;4T}%l*TvbLMctuy z&~H02=-{iDMh01|K+L&S2#)D+^*<0pmwl1^kbX4ODv1f%Sm8DK`x-_0kCtYI!;{d; zRTp&2mwKE`ESH_Ui6UpvU79Q0H=e|X2P}I0xe`6>LdnBXf)p3-T|6j@tG##V9yEkP?Z$t1XRIAuP=_z)2Xj+BybX?1QoRjg%=RN z_C3VG-DJBHwMLVSaFQR-kMVj)zR7)TJVOO#J*q4<{)2;vm56XF07xCJ?yHhjP}yS@ z&_-}no2G^DY;Pg67M0BVXwuGLVh>>5vo=BD`Tx%tF z#EIePpA{>NT|4Eau+YguI5KCWk`hCFN|*Q68n!*!uLk#OJzqV1qR#c#%&*HNRWOrX zkTF8F`&C);9%!m50v7Nd`;oXDg?sMkH2(h1X|54{g8{gvdPpBXc+zl>5tmm0dYXJ0 z$>RcE|EXC{0DZ05BV$@DLkqdk`oJtb)vvoJ73|G+l_F|GwETxu(y&2FPfWcA)L=fp zwd66rlUbASTJooJs;*F?&9rax`cIBT1Cb^L$8FE1&zO58R`nm=l_=s0{xj~KZG4T#>X`w#Y1qxL250k$QV_~5NoO{h~Hszz*A^L+Rt ziG#N7_6ITZ@SD#NOMv4o*}3Q#LDqo$G~c!e6YMdhctW)4dv;f?6HD<8dIJ=KRrKf2F8&aEUv+jz|VM#W2k z6Q%XW;8>Xj_xa%n_ZMa?6jNm!Y@}aUP4^B(2$&7ElIZo8y+SLbI309h8d8#^5}a=c zeQCRcYyOG4blhLx3bNC$cGC|ICU#l+RXJyh^U2Aj=?=!{YkAL< zCYHmxNbEZ6+@%+fQPR6B2MDXqO@Np*D1i#K#za8IXf6Vzjiyv`iTB$X=Dty+n$e#@4UkV+aUD+)S_o)53DicSRN$$ z@jT^(j!tW;i#Aq1XXI=8HTm{*7arf+23$efV=r#U?1$bC0FZ{)N7|?lzyit$$&d{x zs3=ALXXs%T`UY*7bkp*}@Fgza$)7fgFQua+hVF>C6%#CHZEuU@F2Qql4YvBNGfw`3!Ls@#ooWfVMAtJupY&4MV!^&|Ef!u$Dh>_Vb^F{`;d(&>~^8hbx|J;530{FK8# zK*l88zG=ftt#Z5k&Z~72?-aZle{6A&Lqb8+!~E|{@LC_);c;uZ^ zDSK_#4g8w=tNuE(@~PLwL!f{HY!9v27)@YuVQ~o6CH(Vy)1RoYvBg`Ijg_a3DCrL% zf{zL)D(y1Xtlx}mw3wz(zD`^2fK#}3LzBerMpd546d0xdsco@goi_&$@4LI?hndj!o11NiP8}U z)EvN`Y~;t=efwkcyea;dZS`m2P5)oPr)8uOU~7BL8#O*mX2HI&yP&VHz@t2FX&r(# zQD-}zb& zoQQ0Qdtu*?KUD*>4lTQ({C3eG0dXRbQi6=)PJ#W!9yzKc==Bkpp%UBGUnw9BzZ5xRthGS%^IHGHc)_IMzc8S^XGDu@EN`}( zJYZzq%`QF0!g@yZD5;OxvEP+O#Mb00osa#7JbjA(3BB$!Nai&d(YBv4yXrSn9$q3E zCe9dOiT>|#P>Nc4Oc(9E-hEuefT2rCF z*S%7f>*u`DWF*``ag`$}m5;2%TnPhjSR-dh)$3|_?aQCLr|rfL>u}7mt+v0BbPB!> zxzfi6<TcrnDx|~UcAYRb|62@W{6zUe+_CtN)B^gJ-oa;YqUMQgbSDQHKC6#s z?6NgM1ds)lTS2;hp#ec&sn<2hfR zzWif3v7RCzMDrU7xYeX{e-C0?6w?xzGO>ra+HBy+_xS$z_eUtyjaC;;zbyazB8}!FfU&K|MiA?fWhRix@4hRz*4{W{__I!V^&aaD zwP}kS5+m3V%kakPAUfu{%&KXWCJVN|h(=j?dm^;t@5Z!5YGOwcdvfQ#bv3#?{7fuk zkXHJLA`xH^kiYHAA(YFLWn8ut0cOTp;h3~XT0G7tYej0^kldPo-Xv`e$B>%j1(m$_ z^K1NKd%YsakMw2X`64$I_Xl{#@K+*&VdJM4+I5lJG1`P#>l=76*z&zS(Jw|Rqb6IA zn5I=8`jj-Q$0Av_KTShhQdv_}7g*|ogCw2tm=-8AxAN0f2YJ6-F=JW>h&EuNW){iS zk>%JOhOkUlUHUil6-Yr`+ zkOA~W12X_NzU}QmSE}|`52d@t=oeS(FYgLI^4r^ZUFjj++O^avJ5G)6$f!0F`r9l= z>Fkca^u-9=($}iUwLi~m(w0h4E)36tejYk(x`ns>m1|W~(4bjW@;kp?FsPaH?CS2( z!l{@ubSqb&Ia>1xcgDiUHTD7yxGvl;>&`StMC)FZ!xEdwW8sk?bb9BvYK|b$G0R5a zrV)xtYcjpI}%d3R!`loa6r8a<&ox zcK(Nv#(uMU6h~gUKN%m>S?7H^;8m$B$ks~I8m=Ify)7NPAp+z=eDgq9>Lj8E=(811vSBc)l=nYeLfF)gNanvKGf)s)sl| zNE20A7LUjGAe(Z>461cE+lNXuUWxZc_=TA1(A=~UepCMA0*D&^%J}uSo7>{Z;lIAO za57o(xaU7GrXqUikIXW+u0c2Lcg4~b#b)0M4W>h?1AgR~0N!M>R>d*W6S!WzTsrD0 zGau?~H@nZbM$o^*2w;`G$>qjJ%)Dfd^`B#*JFeBUrUQ*7a>?7rMow(Y!VV>t6Dj0` zeT&0mfjXiDEFMlfyTf>53q<>qu|abLaFE@i^!nQq+q-brdh*@tz1_p6mPIEt1_!kI z)OpDIwQJN%N|?ywsu(A{fango)=^qlmJR7aZs2zGl%M}_Y6_@+zhj^jg5#hxVDpED zSV|t^5W>$uke6nj8kg1PpM_?XJi^&_1uhEAp*`QS2R+LxZu|FD{bPvP`djhbsm{iL zD=~m;?AQcS%t~^9RIYWa)biOwx$|691a=l_>v6p|6?NLyZZ}PEyHe++3oQ%xohN?O zNuD#gnK3)wqV?x)4KfzbJIChULnmbF3&QbZHt?Q16gd@=y-Co>Du{Z1b19i#i_=;@ zraZCdZ52lzUSA~>rAc*$cgC7d4QmGw^eNKhT6*!LpE`l?#!Na`4knee8)NvMS3LBmW8ds#zWy?C&Gn0mw-1UnSTR zZ|L%kX{ASB5R1mS7UEY=HkWV6U$OZ$X&}X(KO>-tE~CAQ)Ll+fBIgcjQSq7C%`Ku? z@~?OuCkYKs>Sv6j4t#vJ-r4-4S7%eZe#J0;#CXjbLt~vE5P#*uvR8RRAcwObXIKfC zH^XT?i3-clFgS=QN6LQp%b>FzhVQ1^yGJieW5^(h)xmFpA zL-wO4@|Y7H`+04MJ@ngMt<5JB`b=Hs$Qt!?)*5j4vU z-a#~xZdO7fEK8Z_k2_6S;^QqLS+OU#q|t-h%;N-b%=dwI`JEe<6^&RY-HKZVXKt8$ z|4bfqD?P64mt+sEY@-<)e3UEg#@fbmbj0k%mv;0eU1amf?E1wQ`BHxACP32CwGnE& zqX@S|P8?lPGmEF*T$SP9Ps8mXjOi+dlWJ%qHBVkGw;vIuoxw2o0~`%5?MfBpxbb%= zXSdQn9~VD{Fp)pRW9cV*@UCISOX^7;;z{{-$u|r1^v5r7w(3+xShH+G)9M@9g6_M- z>uWWH#{_uC<2wd5SA!XsvA~390V2=+ouRv%n$XILUD1L2(ivx^w;6hv!cL&r4pc{? ziE2D6R@bcZ_|Gh*%KVF^#W9w-MSQ=Alz_;QMSX^C<0=c3&rB^EX<;GL| zm&|km*0th*4jJ-$j^Y`qco-^W03Ou;ByLRqeECQuA*r z2f9~V;|BpQ->f8iuDzgbMF75u*y7k~x<_og_?dGVVZ(JQ?as8rVcx&TA`Nse-zbxW zX2h1-!p;kh2Ku$~>v|5Nmw}vfz-Bwj3Iq{I`32ga5ByWAR+Kzbo454>9QV99SJ`oW z05OJ{4@E#4*7Nzg-RDuMfo6*()9rfN!>O4zZf>@1c004B>~7#^h0s3<2)HqgeV6B4 zBXhiaX!(CIif#M#u&u?%&7@93{!=j)aKUebLaEf&JV4Cizbcis=Gkz?MCDeTk`bNV z7vWk<>3_8jmvgKvJjc5)g6!mZm+pRMc@+DY3?<8BJQR$mG?Nu2KQ*OMMBbQoPmJzR zOl&}D+CG6@WVZ@vy&YUIxDTjXK4xsUaNEc&*1)0cU_y@MjTWf?HZDVU)sB!UGhRO$8^sP@eOh$G_aS%~vVR?~IWQM4-CMZ}8g($ z8aRP(byP;MXjz?b(pPyM-XHfwWisq1&cSs^&VwUG7Dj|dE{1mMoXMG0tE;Pldv9M# zw8*2hHl126l3^ZyevV>}_^?9-M*Lr8Ff$*&<{o9Hn8Ab&e_4hKl6KbOLefR|-i8gR zGJYH_nkt4;ipSX`TTJEt`1R%Q-505!Cm?zEc{-1USFP)*jY0~4b@GQESdVhZvLJcx zTk8&-mYr+LuQFa+nZ&-~#8t6Hd@Iz-o-Bad{vzdVjN~X!xB3*{1kh^pf7ab!yke48Yl+sura>4Jsv62{d8yFO?s~Qi=_60X7 z@~1O^lM+EEB6zTBH7gs0U&Xm}>g{=F$s27WC!P?93AUMCe%UUdnS*!`h-K@8_T%fx z>Nbv1?s-|^Xket(*7i5}zHh$DrM;h7Am~eQ4K|&S`5T1rL9(mygQZ07^QmW_E)W)ax#fHD@aSn6Lt+gD%}YJHl9PNVKq4Y zN#XZr3g9X9ou(-5x2OElBpehI8QGCwT_b24=j#btbp|}fZsGT7=$$$YG0$u`Ac;q0 zMWq61UmZaF5~Nguwi|hS>X{Q?nWev>m{|a)3SH;fR5_2#AHO{jl`P$jc(0yh#ij-R z0^%bz!^F=1sK1D-NBcpvfK#|FwCIKgUhSS)_kBr3D%7;B0TcOFQmF zk5Uj@_)==S=r9nw4>uiO?ZIiZb~vcV!`Yd2=9%J1!M#N#m+8-dN#l z#_9Ol7T#(l3@<%2^6{gas9{~_oMZw7Om5s5cenl|dNDa7W55@~Rx4EMpaC^R6|cFg z^HW|*uY9~_Wb@DYt+L%rw7LyTQ_aD+egxtgU)AAnZ28TG|7j{izeEpf8Sl(a)m_Qx zcj?>1OUvv(Jprt|^}ADdKz-W}t4K>G3J{p*JlsFn@T{uGK*qEM$KbB-`qvb7p5hUU zNj6(rk-gg@RuSt_BxMYklxzJq90<;M9YVIHy0q^72TXqS0UiZ&{xMnh-E|SEx`6P9 zkbk;AvIHtDP<+(C2G2Hr%IG_re|VS$xq`Q6c@zT)4jI+XxY7s7VR6zBt8JQJMT2kZ%QV=4Un&@bXcaNq84$_yh37b%nzsJV>sn-I zoZT*~Yk14>Nh{Cl-YCJ?=$9XX73JG^m8q$ntP`>FDWrz?!r;hoj3ddV6!Rl=k;|~L zX{`WP`7M;U;~yw`8c_Wt+1KGR3?3g=viCKTp6=s)T4Y12y` zmi`zfpIib@kXr=guR3l>sc!j((Zks$8+p|K6q0{+1q!UsCl^{2+2lnMB}5p(p%^e4 zv{CB>2=d-%O`1Vlf=&qaUweh`bP?--5Kw20?i;N+9eZ_WFF6+Y1?2mSgypvoAEWq z1&Mf{nTWW47Ex9cb)e0f=Lt?g6l$KDaJ7HOhKrgfuN(6%G>8X8EIw?AfNyh9VzF|$ zVFWx#=R@G|QF!S-`cH|*FMm&_28E008)?dxJYDjPons0A{Ic{psP=P>rLhuEWcrL7IdHE!iYA;Ir0HgWT>Auk>J>m7|IX7lrHqNspnj-CZ^}51o z@Tl*1A`O}4NO(5n~s21bVCHgC9{ zU$+o7@#t(B7tt!|G)*Iq*L^{DdB4Mp9z|AvQ?dLABMoBir?iu43QfY#pdX)M-p6#Q z98S}40#@(;>~O)>fBRdr)AJ5IOK*LEExx8dSqFd;R3;Me?Q~dZY<2I7Va+25IR4=$ z!cO!Vx-cX}YfLb!8-Qc0-eya@bc=t}s z_R_m2>c%cvXNep>yFf(uph;BFHOlVJJZgEW!LNBEx;iCXY?wjVYpG9Y{i$mhM|NEi zx>M;AbY4`^l9lui=)a}wDpxth49lrNmE)CuYySL6t@X*$jWZP;h!l=pMZ$;c7^d&T z6j?o;|I_Evc4oSV780KNRl=%J6xB)9i3Nf0Ml2p>l#DG z+J8A3=6IGL`{k9qGQ@2)#1GiEMW}rkpbe>}lub-mj%V=~h5s`xy6y3{gR$n>=yg$D zp%?Y4vq^;W@vxYe&I{V#0MG@;Ux{osy>^g<*&27VRc883OO6{V*ZItlI?vp~7}ix| z;$0_-v26Foo8hAw4>Bw2##twG9d8KX*X5UJ^@MI{4uYO)R30Qn_yF&~vbn%od$Jyh z#q#o%tMjqH9K!Nw0df=Y`B~)5^C#lPF{hwjhgX9|>Qvk4<|cj&6<(b=Y!enIK7;_# z9T;Sf@15-$x;P9G@+<8485WhjTnK_Jq}31;@cPc)@jw>a8w`?j{t@PiXiadMTk<#Ir2H=gkaep9GR#N2cStjryk;^wW zp=|ect&772DQdB@#Cdhh^7m5VpYf&#+`9xJ^qx1@JhQmAt;fWFY5G%q>>>CPbp`g= zMEK)?Uu2=ubQrGQx^*#htQe=me^3DsLuBX@^Z5JE_N2JCp>@}luaB*jl8 zi4IL!GZ=9FsoLvCWe<%fpJVaS{gQ=kB{7|O@)T5QiWxOCc_P7i?fGoqx7Z#f^N4jT zX*z4C2z1&1E$A@x8U8E8$~3pTx--QZqfovIt*NoEIB;FhtqEo;yz6E`wgUZ-rEe|Et%T4_52kTn`ar70zq7I{ioOnlgIfZbi!W#^r4PBcVOHs zRLLLcXV#x-HbAMh(RzYcn1)Sv_f-sdy$IWGwo#D7NkpSmDPLjQZ+?DJXk>*j)m6oC z<JiDl;e(x42y+Zi=%*w*aB4`Ff|Ua_1T| zk!CVg9Q9Jd6_p~Kv;1{pDIj0cc2-@68`ovCG1%$^j7Bn&y_Blf zKX}EQV^Et)AEFuNZ!#Re=W%{L4R5(?ijw=MRTAkvgRJhLX=^*n#3EE`z-ciaRncnRie z6($j`@IUxqqtsra*BvHN*+nohWBa%N2qGiBkzzUyGI?@!ZXFp8KY6?sh1}e`-EAu` zUimpClVfsU-_@SFU5z-mgUM4^$C0va=`zPp=yXd{Ja43F3yivHwN-J0@%H9!a56n- zr*SmoL4UM%2pUs9(Z7P9Mi>o&A#M@AS9@eNsjamvF3qK$#ttJJ$pe;dgU+llPWlK- z$!W=os);z4{aJf@hQCD(Vm59;WGJ5futIuFs4MkM`fJD%_i85o18z)?#4A?_jl-xh-s~T~&J@ zsk1It85{47RNU7-a$3h#1ves?@n($mRouE_L4MRz2|gHdwk}%nL{m5i}ASIQ5i#)jm4o3{mQyLE%ys?)Ms zi=!~9s|e_WBOM6EbvD}Q4=(*HOIJxMx2AkDll`7m>U7CtQK?+NbKRqP!Bd3$WSA{K z5H8fsCsf+}kVgf!e#VFH^jAwxqcf3jtPU`@h%4=3rd)0Sj;zaZUVd}2pg=o>vIn(~ z)>JZlSCs~C|Aq!LH6>?P$c&;jzHH2;EYJ280X!jMaNnn%H06Feuw?2=)J~249B$a6 zj2)Ay-J}vco*m5nI7aBWIBD)u=#UUk`t~RhwJM5@Tst9bI_ zuIZ(XPRZGJ-yw%^m=(4czO}YJjgzOz*ge{Ph_9P&gMeWJpma)N0F#IoPZ!C5C~+w| zq~t`F1}`HFQl1kupiJi3FN<`)Qdo}lDCy>Pgxj8OX`W`a>{i)d{pqKn;yur4<_&BX z=gWJZRJXv~=o`0=zzRUemHCLW3y(cibBJ%hb`TF;TC*`p0YGUL@ZpU^lt1krD9`^% zvZoWlCc)4BR+wdRSzvK+jwSOl-PPJy8a%@|8D8s-fuoqeaU zA*;69mTfVB7a;ugPqw(y5wA*kI7N+pQ)wbz@lxmBi%EXxn`SX0q0_5qO_n0wjXKmv zF}z2sjT>);9Td58=X7AD{X2aiLNihTt}y0XSck8UoW>|_13uGO|-`$e}8USF#=n)lzm?z7_oDj}uc2p2E5V3UhSiFm~ zEHl}`gi-PD%c6}B@5y)_MI7vNu-&U1>+BQ#bg_K{6Ta~}@}2jBL2i7?Z)LmcT^`-3 z;|9`M7>V$qM7NE%omQAaHf15Q{oQS(5d0%(&x+A|&vR+aGpEs>Vf+5svufpi+4I~V zsE70jZwQu$o-~+9zwaFM2AzYprR!hI#Qi!J} zA@C=l4(seqvmU(1(c&ABgi_%t%W-2mE`S=JA> z8&5UZ%ilHLn(6l2vmv?5{_VCJb^EAJ-(5clvtiQi_CWkO*_*wO;N8IMS4`B3*wTD7 zP+*vr4Uu@KBS%LB%6P+;IO?a1VX`TUKeV%3ICe#Z!yk{R{#fnlXw*I3PWz6rGl^Z`S5%od)!nv(5gak=J zlIHCEA9bB|R9jJ-^#ehRyE~M&K!E}Qin~jJwzw9GySoQ>E3U<@Bv9O;xI>D&Q`~LB zx7Mt8eY0lrZ?5Df_nw^dJbV9kIKlv~rOHw5t>;{sqyR^lnDUz*lXIS7Kg;26AwhA3 zciQ{NeCtUGyn(=7B`T2#c_It0jUG~SJBE(R63rqUSX$#=Cu3WjHx5^ByPT_+oU8jx zRCEe?ljWx4WpvwZJv|Tmtz|Xec45AU;>YkWQ+<{>1Le-|Ww$<2Z zs}}I9D8hWI#f!tp52=y-fV3;|A(&BGnZ-74*)fsr5?~Clff-F;JFXd#Mr^A1o08|R zZ3dz}?4i=w?28-HO`(cr3oALSPx^l@j7QvlN#rq|Ob_6|_AWj;fqdg0xlsklS?;QX zVYcI6{3`^53RT{lcNAB7rZgVG{G#FlXNJP*Y+CKA?^L<{4*Q)%1>ehEp< zE(?>Qx?iQ~r_Wua5Sx&&O>{xlOREkh1Ta)`1S>4_r=BNv>C}!EMQRmpL`dTlohEgo zlP0SJ;p(3HccGz82Cs%Q2Q1ZO$Xe`^nj{A-_#c}b=-jf7H{8q%@0 z%><>&QvWG@e}`M3py6liUtLGuVid*IK!}f}aD%mim5xiTy>$a$st_?*z@-T6^ih2? z{W;6sQo74JSgNNsPAOZ`x?YK=gubthDbYyQQ4r0jf2-;d(2OOQaN^8BnB|)G)p69s zG*E|sz%B=zN-~jm!_#5Kqn@c2pj-^m_Z&~2Q|@e+%!o~&X)4(yB>9tBJQ9qEQEwy4PC-f&mPgK+St==QN6vIXhOdYSnadIt8cP8^0yAZb_geo9=&~0g~ zTfg*qE1pWT7Gc~^BZZw=qo507>!}|8Kovk?y?Qxu$xVG@{8mkDH(M5|bQdksYM!wY zg|g&)Rggq6!YW`?bITUcLXDxZ;b_D@`NyH`qRI@RNgrdgtwqMU!}i(sn4tYR+I(Lx zCq_E^{C0P6<%l@zF{hbgo};~Zl_0M|M5WTK!V}PBW0vLS-7er~#b(jYT~bh0l(RRA zp@W9GSSE^%yJPtoqKdezJ0ckTeP3Y*i(=*F21(9A(U#p+#rZ&MY`|P5hEW!U6+odl z@%=>K`)rSTqRNB)bm8Kh6<3R+Dw>Q|W!{Vm=~eBLDsqDQW%hxsEd>s(pap`nJLop5 zI;qn))&UV>vhw;WVz}H+=%qzw^Qimz-I~TX-$lXtw#&IXN`Kp_^}fI3@!|Omus?dY z3+&PjRR4P~`_}Xm#H_!yabwba3O_Nxu=luN#OVo+I_qK1N4@g_eSA1Dxc=GcIVo#b zMIqW?v4&l(hVlCszy+N)rs)~d$iw8w=glN#U>}12qUSPiYM_R}?>$DAKmb$xFWIJY zU+^Q{Yvm|0)AOH;ARt6F{>u<%Z-98<_A6OJpw~b{I^nqHps;X@!112m>*G?`x|{*y zZyN@2Gl2Q|ZUE!zNR|Pa(^-oXE%GcMIpQuBK9ex{q~@y8AMbMkpFjZ0_Y~I;-e_%`FU+4pOgDA4ezVC1%hF8pLmB1yKuJ9p` z)I~hb{Xq8BJ7o&@((L<%ZZF)QF|}VJzZSjLI16dw^i*X>jDc)&%8hUP ziF0jrzIKK7yoMegM>CJbn{nNR-!2y2|AQ?(-0w(#zA%uU*MLoDjvT%?M$~Orm_E9W zRf9}p4tUJqneq?q)ug5K#rY%E)QfDbZ&BxOvK(|BbQ_YYB>&pz!8Q5%Pv0pxK(P*e zbaI?>YJMil`{enj_WhmtDKL3<@C3ZP0{3ja|9W3%1-S=7C=Gro&(5 z%s1hA8fz;LfvTM?Tc`mw4X9ypNwWp<#O)|53YaAxYay^_wp`%ePXoF(x+Mh%8IIz;ty$dsNE$e76BIypow$nc&3Jx&3A@u)M+96 zwc^Ts9uyZCs*7*luV8ebE%NRk)EA0Leqwv9kS6elbkNESysa;#@H&lC$60@jQ`;jQ zp%ayS!}T29{oui|Q@V_HP13lVErALnE?O@Ih%x}EaoEnDIO=~k(TO!(-s`EtVPIS0 zKDH~ci5%(t8JE|ov;Qu43HFDsG0W$9MVk3Jmq#pfn8@P`k7jq3?%2i#gEY}!{~X9% zH6j*on#`859uzUHZc>0CP<#P14xR1@K;KEP%2p;KDhU^^S6&?bUX`h?@*!n-fp~PqlP<) zU=HM4e7gdZp|U^pIkJh&S2{3=Sk`y^2JzWrE+Fd`nfY=cVO6*q&39nLz$$jm!*1Q@9pbjV z%8}*9feIja8&xl*An*1$JeQFv&DC5~h#4S$xXQ>Cpf3tH>%1R`w$v2&1b~=I*wh%p zKkdllOYNilL4@FGo5DezwG9<527^K(w&HmHL~rRvkuKnW1RU8dR^?2-&)%QNx|UsRsWgEwsk5(Q*% z^e*;ZG-(tsLg~wQ_wO+_%`)tXw52RR!-4RxC+oRsN7*n+7(|!{W{FA`Al{+?=f(u< zL%hqYOU@~C&2P_%K3zOIM(yNq+u(Fd-0v(j84jH;eabophh3B7O0((_fp(jrt1YTw zgeY#!0K;OXw4`)H)3bl=E;Y#dd z$ThO)T()-eIe}^XvX^xaJi3u{i?Q34XV1o2U>TGBJ#{gm> zmF%{CRBmN;3ts_h+=kn~nz#>|vMvDbRu}!dhs}fDvd`STZnr}A z<)5Z+LIl5d;F1ii1CENk2=0?zz3xgw1b{Rnnwkv#H&H-biUHtBmzMcHnL-DeQi5l( zKs5NZkp})t@faJ&r!Zv+0(@YW7J(#ypPiX}@?kd=%^=^oNzezP-;pwzw9b#Ls&|L- z+a(nKpta@u$g|TWpP5T30G@q6IySUsv{=5`loc-{@x9VQG2NqN%W~K$_Hl`0?N#8& zsQe}*TDQPI6K;?cy>1+y4S`KWBZ#`(cZJdRIdGSVk;bgz-r;-tZsi9Hey!QG0z zU)dr!Brx8F)t1?`xI}%Xe}&YR<I#K|U>mHPj3|B^u#`6{$$# zV}nB^cEJntgSCv_z`kT^0H%G>zo+60Hb>V#>r{C$nOxaOhVt$a(Ok)2?Fs^3n&FM- z5%B5VlllmxT4K-qzS#Yd2y=nw2%E3i04GEL23`Ncqrnf$sz%sK)e*XW12R2y&oI!n zO5;oGZeWQP`6(g}u6C>Zh47EbjA~Nk%za1-Rw92HvU0$+vb;h5(nU5;JcYO$u#n4in7n3OTqyNH=40HFrN+MbB{j(xY2p98O zvzEBuMdlzs)(ST~8I8v*sd8T_YqEaHN{G>L(GDzr{`nq)(wS1~`gGK) z1@f?=ci2#kv7|nV#Qsx-gF4;^ucc@de*HlBSp9Y=WEK_hMr>h8=zHZr$nv|5X{!Y| zKp8X)kb}hyRYmv3{$>w6(W30>oXQh5Z28!xo4|{&_AU&M8K5%?JU-Fg3iRcL4!Ah)x$FjCc-0V>oRmXZho=r82@13@v7a zHZ^A`(yh4uz*;^&ZUJ`FTP(PP$fzOrywMu}be2S8C~&3<&7Yy})jxew#+gH|to1p^ zH{Bl{XDG<8s%qtjv;AVu(-CIH%&vMFtM!|z;qO)ijEoXm2XrmK) z-(phqjiO^_2*igCAWnhY$4I-sqDiwGQ%y>M6~vle1CFkImjY4~r@QFO+RxGwZI%)| z=u(D>j5`L}r#2B3&qj;%=x0gdidzGwSkXSQ6??o+vSB?+r8s^mbj>%F#bHvFQqoCG z23A)L5j+JO_8`L*9JlS|mJ%Dd%xX5flm(aa5Sd+itS9?l>tuynv&%ClII|JKVr3cz zr7M>RiI=e>Y+%9n*xuTRV*$x7D0Zb+K5LEpbD2nBnRoq9fMc0n`DrBXkKY*Z+hQ)N zCN-~@j#sI%amjlIvbi4zzQ3FOyr;Kzy}z^MbqJSPbkH)(YX585v}^{Ps%=S*t`nA0 zCNk4WL2h)P%jM`DZgp}bE~ z*paK0wC5#M8%4uq6s-~LM&W@wiR&mUG~HbW|>X|AsqFqN#j&*WpI0dM#VlM(%7=d6$&A@B{T$TB>3gNiD= zC|d5p&!+cL>O=>a84HY}sdEqG7CS6sTk(r237H8Q+Q+EEj~%vJ?!SVe#_ctDxbV~u z9{TFvDgqK@ILp)>nf+V+B1=}Y{KiGXE^RzwOsNK*l`o3BlFt#1n5AUXR%Q?c+!2NWf*ejE8Xsf8QC#mZgj-eTeRP^Q@=!h$-TrBtVQGz@G$EGW) zq_%X-SAPCoiGZVe6iNY05)C+PzlbU&-6Erk%Vhf|W!qV1GA;z>5eD=bI6rWncMQB0 z_Wl9I76EkhzSG=TdNYq8Ofr(L!&r+`2D__Z8yGfp(#a6mGhRM%tPG{T;2KAmGypJY zlgm-{rw{XpT; z2y@aJw|i^n{^8GV5Y0S*4OwPib?rdAW9!-yTD7?VvE?azLT0y! z^=_%mg*Jr6C7cTMmb!e8Mj4xuaU89dowjxcvfK6*++4Qpv-TtE)(+rAhGU)x$ZF=` zV>2O*tc7Og!j)R1(R$459%%^w_LI8HqkD&uMGIpX1zI2&j?JrVB- zsu7*4Dn$r|j#O1p0mwPIU2bSO15-h}_A(W9UUw^$3I`t4hF?otlYRZJ;vK@3wJ)N9 zZ?sWIrV52WSmoy1?%1=PNK>jKeM2Y#xDTIW6=zZHU{rJW{X0&WEoW3?eV_&4)b!Ia z9eIi0-kp3BsDh*B9PFJC4;PVxzbUM1>}_897Z$es%KxeKtGnC`&ZejFc&v0tBSvuE z2wk%J5d=F4gV-1GC)e?Z&!?{R-cS(5TT7E)Oo;FTK1@ob>8m1afw#d~@W{a{5xkyx z0F$6hdHgV&$8(tZxWMwKSAPbsc@UaRb0RtyDcF65E?>W<3umR}1X-*Dod14e*8I*q zttp<0X!cD{L)%3AV-|#L2qnrgN(WU?2Ab}?*FA9~PRcl+$8bh2U4C1JxQAP3 z-6E0OeEyA@%8EdL+KwZ*fGYAO(ou5p;@T2R^X+oVk>Dl5-GU zoJN?tRsM=(;BGZt*gSpaVsHh%2%8Oi)Zs_RNT4iLz?Sf&I;{Zp$K~!+IV%HMyNtiB zl?13Kqc|$;ut(X`(hb}YfC<6FFDNYNg7Yjmt#LbaBb79PqS+imY3X0kJ4N}6941i@Zvhm8vYU>| z!qA3~Nbt>Vu>|M|!=GelxXVoV@M889?JL6VOAypaV$2&u>0QJLg?77bymxb{GryiE@2k!x3kcJ76pXl z$bX2y9A|^UJGiaT1_#WpRd>Qi#?lw#M-ZF}w5Hbh9R*xX!6>eOp?=01wnrgvtahuf ze$u_5$=*4~?9iim^2tUw-H+mdYuL^K-!a>2FgjzT1qHQ+3v9_X>pZ*N^1>Bb>^ug; zzgJo-a$rZLyL6a0=vfF>*u4+4Ao|NY4m5d2GP0()2632Cr~+(ZZtyxZ}uz>KPGf z;LCCti!x~pN2`ragLFbQk6k2C&R>|n*^-j;*Y@;5U%`~cpG9A$QlCU|wv$Y=S$Z~> zB9N>4hB-8LdG$s>ZYh&6huzL80enRX?Vro1=lB{OF$13^BRdg~e+F)Uq5R&tc-1Qs z9h&uo!((GC5ykvQ%>dCMH66oRm_VorYYFa{s@QvMA!we0VJusZYAd}$i*o}CyHG&C z3V`M3d@)u_T4}XZ9(39ghmlLX5InW_M~pttrkxGD+P__bRApls)NWc?L1QY!qa)- z@wQTVvOQ#@$W8d8eZ~J&e~xN0_&0vUu@9wHSx9Y^en#BaO+rXM<{Ps$j-sC}+Q3Xz>AM&NN` zbN6lv+fnIII8fq`wbOgvxp4y50{_<_Wn*#tJrRsM(Mk&DFT7T`0~lcDNkmH>VUXja z@DWm{T~%u-#^4mzBkg{+`U!!=9E+%`m^YwjBXZJ--lZc)9b~s>+DNcp{ zn+eXaL~z0%Lr1BD^#DD$ddaQ`zZ9Nv1h|BZhtv{gIb*4NbeHgDKuO~!^Qgs>2i}rQ zv<>BkyA=OuM5)Sjm(pWEh=OWM0l7Kf>`b#o>F<;#6SVs3FRYvlzwlP!NS-N^?H@@0 zZj|r*-ST?~2|}&zjcpCQlFUYwxR#smLR2*Uza1Cl$l?4yqF7IY0&q9q==fo1IyYX^ zNhf<3#YuW({)M4zgJsI2@**WaUYP$ORxR}8dZY_|d`rX#1$R?`*|cI`M)6R_J?wn} z{@uOq#1wq`WCCiyIB+)tDV!&(Z}jsuLR)F;rUi}YaDQo_Dp0CA?S6-$qipx!6(TpQS4LIh$LGr8uY=2ZdS%oCLz*&z8QW&qyn8G*!97k}eGV*wMdb0PgN?~?TSVNwk8*mPc zi+Qxj(v zO#oshifz}BrV*CBy>Lc`ZTmBtzIIN3*c(isP~`dUQCZYf$d25bo!6Ucbs$Zy=34&p z^uBNchZst=+DF-m<6}+bvy3-5O=g9}@pT8m7Jx>mLzsq!ktS7VzkNtg;x~kg;gE(j z&6K4Z(z%dW`08jPDkKbYFk5%8h59NJJ5=96&wKvo5&njJi=hGnw>4IL6nbJvC;b*- zC>p6Ug+XkzxJJA6n>!COgEpDZ1)m`!)Kg}|CXH?hO7I1E)m>H+97|&&tOFVq^Y(LKl}%}x~0VvM38ej z0l?V76nFUJ=1(@84~v-qVH+gmbrt*SNo$l#9n7|DsF|2(R=uCjIE%s#j3Eovts4Aj zvrs7bFfPRXfts?T_kb4*jo_ScKl4hmmP;9GgE_`Ss^fjTFo7To+S z(?#;(N_qs2|Han~lN6mhUAR$JL!|o@Q6#tRMcNp}KGyOu>?EY@z12t47m&wl_{z-R ztu*!>eK|j}s*waUvppaipwnVn#O8fm76Z|XMA3wI>MbbQ60+MHa)}4jW)fydLCx7u zV0gix#nzEGw_687&VdR`e@GR1hq_yVdLVRscYr3RuU$&HCD2Y7G;)Y zH+Oyb1BPg(+w^p#Uao)qly95ga4JB-e-ssCuTL_f1d4OUTYFrVXLmzRh6f=49{bqz z4*t5dSdL)JY00SI?eaq-)lr z@}JZ4LI0JtPJe&W(jqTrZaF-clSH?=k8@#f8}k)Xc+?iB|RG}pNO@G%gXNd z%Cq_meq76#4A%m)*Z>qOr+mMMf#$NbB&hej;`**b2hllLJCpVsWe*;3`AuhEV&CpM z!xL#Sb8!D|H{%fz$JeSDcvyqG8+NCbcAiSj535Q!Bw0D_cchq6z-raNsKLMQG|t^`ciT|#8`ga5*kF(Icd=VGVPdExkkoiqOP3hEYtNvukNH{ zd7F||*kt^eg5egZt$F_CQCLvk^s(FO{Nq)gUS$Zf=kQm6Qzd7B>_!~QUe7ECi#4UL z42n&29vR`PIHS5hPp#aVS67H33;kr9S`=<5`9d)%%FqBbYqE(WB`Snk9fZ?z1uz8& zwi=no*6#EP>wilOyC6I^W;;#7ddo3Nbr7@KnX&U^Qa{;P;lH#W+oRT4sW28Dnysz3 z4dz(cyJZDeW{mQU1+r4Lp$Q0KLn;OeGY7l6AQhAiK{us+Mq$*qNV9H!|G~c+gxPl*I3kY9+1EY% z8P9^YKW!squF6D1q5bv6v*JZ@^u{?;76awLqz~NxWabN308N(prmIr7h5bb6*fJTe z=AoJ=SaM14QVfby`3O%D>SykIO?-!|Bug|4Bu~_AGIuXl@%*Jd`^mkY1lSFy++1&7 z%i2lwAvrOecAii}%S&#*(OEGVwkU0R4S2nIhv~MKNG7&;rl%t?NRK>S?}(_enYa>m zIjut)!9pbJ8L_Ehtd4$@;&OV~&Zvx+M1Tri=C04H#_EA%et7I_ z%K3`sf7_ujCO6mM;SteBqK1E>JpLm)3?I2K!ZehXV?1l|mUOBLGksy(;hS>hG#BU~ z(i`6?`8WMo&AeS-LK{8cH3&QkX+!I+x%uFyX7W4MLFob)U%7W1B})m4WD zg^nv+YK?vvPMXL#R>(~V!fq$JIFKiseeYt)3t53fe-BG80B^yvG4$uK^!X|Jdk>(2;u%eg`U{ zrq^@7S#Ykfm$qIfAD9Bod?h}vgbMVx7Fls@dM|cD=(CGFN-r-t8*j6Nq zN`V)8jMOa_PFs(DvrAKuWY$V7&6|tv02&*3mBP10&Tu-vyszM|=0VNrd64SAWz^7E z1y@4H>NmaNu?*Rgq1Pmng0*uAV{kwZ8CMFbqBcuyHLzo^qn_$~TPKd$)oA`Upvk$* z+?Rk|OY|EQy-LRsAw=o@dGvn$#&Z$B|9kX5LX`fxnyE*PGQl1;bskuTRU@yb5lHw{ zaaI0-2owe`4?QTtmZM`{0Gt=HdE4+-jz|BfD$KKE?Uz{XeQ!GN zB1QzF($0B#7_WXZ`lpkE0_Pm{u=IGngyX@}GCkp_#SEeaoIu2(V-jjl=TDjZS(g2t z1LR)(>m1NSW3*KkH#paN0b&pST8gZz<==#aE3@P`Ps!+L^cX5cH!g}XDGG365I^9ZA|bZFYiPd{V;?+7OrSIsr-s?h*;9cl;XY z7YAkPD{nTxZt*_-d(e$%RAzM4A3oxu%;KXVqVI+;es zGH#cr#ZsHbt#C9hVwlzG?XUpwbsvS87w_nUJf!lV$yoKPYOJ)?`^fw)8S!sS}+v_ogdy3kF!U}Klw<|j5I59sL+pL@qvkfquDR=qCMRNmY3j;o-w)}W59*(CXpX+9Q*>>UxPQ+L5Ct~oy?ijv?NCx+2l{HhJUO4& zF}uu*#Rtw#)GL4|65>^6KTViB^@9tTRQ^#4IZqT92&g<*J9o0T5h5T0TT!z~%xT9( z7bZk!4FBo0zf!Cnj4nP3dlMz0iSa^?Ln24x#x>9N;I6rMD**bsnt30$U;pS{X`kYC zTd#jkTzIh0ZJg^5X}>++=J|-LNO~^{{Lg;yBlBV%ULC=S&??Fi*f(d?Kk=Q;8yDKa z0#RZw7Z6<>!0uO`f%-MFa0gg=74A;&+zXQgCAY=>q2Vj0wj{!aK* znftW2i>eQP)R%q#`!wTxW z@&Ex!g>Zcf!q{7wIhy|SuBrf563|Hm1E_ls0CQrRkiL8`|9zh6L=?=l19t3+XPQhZ W=dT1@xY7XlOHN8zvP#@A@V@}Yfe$eN literal 0 HcmV?d00001 diff --git a/panel/lipoguard_Combined_Top.png b/panel/lipoguard_Combined_Top.png new file mode 100755 index 0000000000000000000000000000000000000000..009f864ae10a87af7029979cacec691df836a105 GIT binary patch literal 82092 zcmZ6y2RNH=_&;jZ-rA~7TUE3~&Dg4~F0pE_#H>AH?@?5#Jz@pbq6D?YCbdJ9+Iz*G zv1^?8{(tA3-#OQF<&s3+=eh6aeedbAV|HNWZQfkB+gS&mR=<76bLshKR`YLdQZ6*Fdh7;Y4w_wH z*;rd&pQ5#TasFZCr-|XQbOp2VU($!f|9kW#1wVVmEAprpw_=haI^gH@wtpT zDbod2%GeAAgKnoMXDTsQ6ZR1Nuc63pNq`_7^!S97x|`nnA~ez>=zonILsD{VH}3VU zZUW)|GlEI_f)rpfTOV|WRzK(*%DMa6?r8Xq|0 zQEGkTazYJavp;Dl`H8{8YiivVF<0sl$Oj;6UNX)%|3{)AP8O-sPeCz3LbK1D(MTVQ zEH~2XJVzF(16_S{6%Op)tC9vl5?3{UkK%rB>%zsYcyRb6_rSBkUFHrM`8SeX4ZCd? zDdl;zP!(s&?*l*n+lWD4;x4!fH-l4IwGhK=v_#!e<# z-^IUOrQmQe z)cU9xN9d{q5dxGTryuu)297|4UdTyh64qqOlcHS|?R-XF4@pOrhdX3JN+Bwp(t=+- z9MWyu&7O4H+!l6Cvi4HvgFR3N{oj+O>cNB-u0+mjY-U^%*+df4>e_ z2($Lv>8#&tp`=F}o8vDxzu4V(VpcSt*$_umBh%z0HfS#~QKD$=Cnf@)=(#SayuO5T zgYNlDP7TiT^p5Dw(MLV&$TcpcUcN)kOH2ZdM@>iU`aw26tg}>>3Zp!$#f=J9KJg&W z4}2?j3{OYCc%h8?cl+08Coq|)x7>z8x)bQi`hp0TG66BGwrRC#h~v|2tC#1!a({8D zN8Rr$zXdK&zBm)kaU4LO*k}76t+y}@@+nX$q$wW@;1Xp2(KLJW;`j~g9v^rFkLd*5 zUkH~%8BL5unNvXHJ>QmlTNF0O3nr+?C6`_Cz@pf(CIMH*Q(q}{a!^q?e@}V46w7X| zEB;2$QL*9tfZI;6%2rsfLFLX&KB(axM>8e_X*>e~-J$0g(wEfB5gt^lP}@7Pi&e-v zy5Q-ev*6g?p13?d#C^9T$A;5jd<^@vJ~9^A=)8AtSM}KyM~%Z;=DHt6H%HLyU@-iZ zBC@X~J;oKri6y6>jIzp#UEEa(?)3Q-MJp$<>FF1NbWA%z_h`Lu53i+fQIVj73oF0H z@bKz>WLc9O91dMvf#lB3$f+lCW&Pde_^9VpbK=fyl(FJ%*yLJ$y4@l<*HvKo^@q?X zM{zeiAXgEH<+e(3(Hk(njDv7u_*$|b_7%DhT|gz`!}#cc5K<|eInCx z*yp`yav|8qqfcbniQAhZ9S}KqfK+;(m2&l26dj!KNB=aZ{GoncZi!PQGEhMQt5m7+ z=XCT`1vyNSP_qrU)VA`HUz;wPMr<deFPzqGv-kI!SLFm**Swvkn8nYyH8RRW0sKcg|n? ztP*gR$)3OPXxZQW_2z!Uo3DsJM z!>=(bL>JBFm5Hj2t1XMBl8$v}AqtVJU!`_q^}RzMxat#O64@X0S@yGW$~?V{e*r_? z2W=Vlbttr=%V|4mED!eZvR-GV}@PSjHes$Js;L{G^q2yPXcrQ!?eKW2nC> zV^V@q27Z7D2~WqpfK`U_Z+fb3p0>}TGa8Hwa)=51PQfPZQ?=T1Q1A8I2ht=5(huJ# zY3Nh@qU0eSsG&!;507*o`L_LUwB2UElXodf(+5Kp;ww=5PNpoDLL*RhIHQhXC#Mf*4V(QhZ~D z*{jUYMTSKaDM&PDx6bLbjz+VFkv6|1J`n{zs+?N_n3U8rMU^SQB~a066j`Tx-GQA< z*hSg?$&0sE>F#rlDE9W)?&_G+F`;`7Ly&ND%C1!-y>wQ?K}s`v0D)TmzFN-5(n!Lk6%|g&x!Zfb z>`7wDJS?Op%{?V$#k=L5jGH15@XEmYw0y@mLzYKoqnpF8cl_2ES-Cn-yGIgTt+O*7 z!;GX$7WfOTX)~x7>j=$`JcyQ~#i3_Zs`ppUhSzstY{bh6xkmbG(&(odwXH@p1fLU* zDurr^zzhE(RAy~`FwRWPp##Yo_rYa9{4o9}#KSSGAhoG#mggC1({X8klxJN-DNftm zsP|nLLsutVlpm6De|JeA*+@er6;BfcGx6r{=R|(=+gyC(B?Gv2xOCLkzm@TCfNTc3 z+=%-nc&k15DQ2{atLf@)gYR0kg;7tPPjBnRM0WZY3a3n+4nfbi$_ro~r&7%nnTq9u zpudE@N`C1@7!kLZ-y>Ln>Yns8c=}$fW$rn#V=Z`t;gJyo7_V?0AaS&}gd|i(?{A?Gc&nQSmAiElP zp+Ab7mwgT&T{oM??L?LR`U8Z3o*%uLgwSR^Q5ZE>UzU#7wp__qCq8iXZ0_~_bIJ`B zt07U~CqHFo6Z!Q6_`#Z<`bYC+&b?(mX6*8b2SB%E#St<~1L|0?JNSutN8ysfddq@f!IBZO;qu0$=X%QGEj z)!Pb01%QKJKSY#p16Eh zqQ7gXj^PSJOVdR+iH0XZ%Jg?Ijj~ns5-d`AlFv~xrm%9-kL5(Q^lPQOO3j0vvx_D= zRYTsfIm5H|7`BI}`Z$u0c2R0<)-cpmn4kHl;KW>oJslp*s}3DApe`+OgxX~~Yh~^q zJrBdUKRn|B_HyDx@jsw4W2`$bG-cqm%TeBP15%&Hcz1|Hdv(Xx^;!UAwN2!44jR&N zJ+B9j1rPg3I9BhRxtN&mru{ZBjGq5-WOw#Tq>lw**;k}G=<9jTe!PlvS`z*_BhtGS zt$la7B=DI$fJ_+DX)fg}s~|dpn$nlZlkw-KdvL-kpjtV)Ps0@O9FfC$)NtT2^JTTA zaza5zkDyy$?hM%m&pPk7n%RqqNYm>l#bTtEdyp;WHb+Ekem0=_7Ih(dmfQ9-FGZv6+ z=FcR##18rL#NqMUza7X*l!d;M?xvhy$@ zi<(v1RjbzFD-*iy9k~-vi&aZ|tkdD1M~c5|Mw)zFMENnT6O6cf-wlm%D@UkYI?91* zFAsVQMSs87C>08tL1!gbNQ)=jW4>b-4zXS#JMz71j<7$PPxQR#LbQypb@R}IkIDo~ zd*0Gn)9NXfhM~m7PVr9zfsd2wa@Y98+RXLAaQ3pB1f0yO)v}i7miKE6*XRb)!3+0e zX=y7Br_DfyN;&MvmYxhcc#M!7n7j&r&|4<6A2YBQFoQltpKQNqQWn+N_=!q|xr`n?aA*T>XDLjpZxpk@8^ zcOZ_i1rXu;3qc_BO_K|eE(?VZGDv=;p;o#(ZfoZNNz4wII^NjWFu|cOysi#zK@Wfq zl|cJ#fzHAiUU2F0J!0X|zoBX@Oz0I%I6b<6y*9*aGmoqM8PN!L`*-r! zUz)CaH_e2msaWGkaoF*Z5UQ>@uNmbQro*4T1Z|m7h&XGZayW1^-9k8}Z*14~D~t<9 zWklEfrp+-l1W_CWY>o^rY5H?o9{;c7i*{ao^Oe3gXHwK3|_${3RB)dV*B zgoh#yX&x}#6oZq(iP>nohW$doQjc}wa4yfR$wkebA18F>LBL`2I3{b5Kmg)vWt6B2 z9C(24=n;&lxCaMv!bxWHg1A;}bh6LbEEn7SlE={eqj`25WaSN~?lN;CGWUrsI~}#g zY;+ie!icl#mggi?J{_I*zg8PYTpc-ROh7}B_JnO7+tX?ZHO@@nGp@Ze`^qD`&G#ri z)JFH}JEZ91Be~h7TOr^Wu#h7_vglA=!izq(g~eI4ckrE~`Hw*4)c#c=E_FpGV4x=z z=Q5!Hb>B?S?K-mjL3}RNd>AXeNgz>Y+R_RlEW5z{L?s*|zE!M}Kqorq>i0BQ$3E<^ zKcq7Ocd))n<9S{uZg#qeG^KPl)NPOu>^&Ry-2cUpCjY#SJ%~O3dWCFiexGAB*mi2t z zvr(5oOvH8h>C1HK;{KxylqI;%UXb-zVyNqtz%@NHXPk9rKv~X8CqEtOU?c; zN*w+bt&F}^^Y?*4!^QGWO{n#NP_I|!=8m4kjd*paX@&wOG;cQr9*=<|pE!}1q(zYO zVsF>E`?~gphm+_IIK$dh8Y0-~f z2~uH?G>XaSqNmT{41by10>_TUmsGN7UYb(X ztjxL_Zaw(}malI~(1x#A@W{fS;{>uDgJ9Y@;E#gV_H=6R_SsYN`TJ|0S%3(7#>;@7 zw=s)Uqw&JV=Tq~0!+H~kSI@PrRIh8H-9MXJKX-)Z6+81hGVI@Fnfg`L)pt-qr}8hF zdo{}_h3vYhE3NNm#EE&mRv{^kqt8eknNsN4PrNX|jN)Lj+gPR0mvZU(nuB1re~QPl zDrC%f$3seA#a8U$lxpIXd0S742nBN1^|OPzwN3W~?l6!=&&#BX*k%HKigMpJY#>u@ z?~%opWbxc;8JV+Tw+W+b8QZ>xc*61Zf|uRrQV_SwavBV*Z>k-(Pt8Nasd-+kdO*vHut zzxlce4=!F8bMo=Y9zM*tIGkF>-vV@_R;*iL0(UbIX zByLV?V0WgzplcmAmtjZNFt)s3Ro7)>@a)8wKp(p_CAvz6Mf*&*ZI(CWZAE$%EpE=K zuW}z1Za$r)aG0AYZ(Ae2Xo3)DTy0rQt=1n+&0q2H24u#`37f%C3rO-`lwr$<`&7_w zD)0P9l!4RKvdMh=Z*|XZ@lc}~y7_y0BFdU=;9~dPbKL#YU}p#uORRQNyHwd<)_GQ& z6%oTls21Vkr2UJ)O_Xr@(w#67(MWBiAh0VTSasZRbc&vg}N=DtJ zWX0;;9Kn1VdI2K+4=y(30*qzo4;IoQHX*I-!I{D=om4+B^p>p6&POQii~9s$Hs3@* zhqX2E=H^DfxH~MY_3snz`v4MTn+_wS^gz;&)h)HLmHnKC9yh7~Su%~=y z#Hp?;U0y`Gy`Wz}z!wBi;ZywX&aKtNSaXFD#_#?a5ipvJ4~BBuJY|)lAcf^8E|Fz$ zx^@leat++wOVx!5DoDjK12i#4z*vz8cV}_Ql{;9AKv^ip|Iw#Bg)5gf%eR-LIbDbL zlkN}OjCw;!8z`JL+?z4AnV(6oY&-n;v-|_^6d50~5h(yxXQ^ys?21HM4hX?L< z8n?4^C6*q2G^v%ph652mDu6Z%KRWk)5HJ8DS)3>|e5+|qrdZ_;r}TOjF}mqsjj41= z^O)_N#adc$WA=BxAYP@L*n7uW@j6xEpYO#vVM~5pQs~e`s8Q}DFY8JvC6t_ei!zUp^8vn~^zIK}4=_e4@VrX>2Wh2ttD)NshR4r7 zeZ6i9BSx>}6JJd5=jN=hAz%3x9jmyJD&E2pxRM=v3WnOsh2(1`D`+D%RSPbWNC27B z*RSjra?Fa{v9f5q2RKUJ4?~WOu>ej^b8iI$HxIo=4xUXtTRvucp3XfBCNy2f9oUB; z?}r1QT=ZYkqhAt1@1YG1z>e>jJIqvTzhd1EryZqqwqrluE~i8vu@xTJkNA+hDG&%O za{ZAG`UkZ{JVUZEI;TvWf@NkAib2MumQ>6uPW?uVV8?b1{F24iAV;I}jn@qUf+^(G zFD)@q_!{{s(K(+}{~955G^n-KG~f=dG%?Rqjb>#83$gFEH zcz;^BBj#AWcqVm}(SA>p#k%}8({Fgefkm`g zD6outO`6NNn_6d49NizGG;4;;+5^s@j*CKtK9agyRV7@nUFfy3!a9|AZ1V*3!_gsIUNZ z-Cbs%C%RQtm!~Bb{3@~(d>^NLt>;G;M=fQHX@$&Jnq+rkn{kB73ep_5s&fjNpKFx3z6tM} z9S-gp{*{HQWGXEQktoV^q-A(v0`=c%B?d`kdg19A1r`PUb&nasVKwFPxnv8&ek z$H2}bfs8*{v$}H2E+*4FP78(Vz8DK|7)ia(lHY3-;8{Zndri&S5iY`CI(pc_^{ zRwk!*)N_vnI%D_4^+C?{*(cLyjcwhrM|Er=$cqw@jDx);5?8pD_!)(SyMvh)Jg|!c zdwv~m^6j}77VNeVAIw~OxP_~nWbAT-Y|z>*?w#~AUqnJCd$u2dO9MPRrM+(l)yHoD zBKU_VWk?3`cKVpA_jlPunRD4L|MjX*@=ZyK7r0`;>PSzNEKWJ=@;!5(kF(xD7*LAk z7ev@o8UD6q;wUgT=m{F?p8b(XUv29~VA7=JSBho&`n(=?BV&IljY;E_?K#hQV8f(P zI0LT4aQDxZa_nZGbWzAHdYTGs_|92*ef)8G{!jlE9ed}N0DZ*Z^xq9yXcb{Qg_T^F zM(0OcRX%X3RnVV^&Fl}UOiUp)k)&Al6twm6KT%n^X3M@+w?0VDe+fXwKgUr4uhG+U zX@%jA3QqSX-x{sgg>=LkF32$o?A8|2Fip$l*()&Y-P&X_b5~5C0f9~R!%<_U8|?TV zY;Qmb448AIQG5+3xP?u+k2U0By%gU-5bO1QLI07r`{#^lH4f*A9M<-Y7r9&}c09~q z!I=C@^{S>gFW9+jQhNDfiU3Q19aS^5lWEHgd{815Qzt*1H89?9;2RwX6Xm%zoFijh zw(sZ0_&-Tjg%|0iqE0gyBwyfABQ?-x(^OjO@rCL|#}D>^ZKN?$3wk52OgB)`%t(5& zls&uYvNQ2BA zF!k`d09!S)?PNpiHuiHbcX#8*FgBNSC8FbDH)H4!kE2#!(bD6O(glfpFVdoIbOIJC z(}dsHz#S3U&;(zExm`vdll6UKF?+j)yJ!<7^PYE}F9=osyaIYjBlY`ht%aa1c!VV1 z@V^RL4d%gEFFXu#sVND2YZd9c)_D@L}K)TD*yrs$Gtl`5u_Lpg1Ms$vkBp7!YBdN9|(El=S0x zF`9Xmg$ZlXvKUceIT8%nDOI?LcF?O%-kVGx8`{>3nyR*++BzKd%{%wy56rhiR`h+6 zrdbb!W$b)lRVi9}%9l0O!;~fk-6NX&*yvn6un4HltDGLg{d{$n(6;MhFuqHnNlCFx zmBR(A?ETjMpgwU=mC}RlwgnM1k9;Fqt<~f0xBjmcXvmiL2B?#q8d@h346x2JkLX%W ziHi746<^r|^5BLI(?YXR&K5!!+v^NroVNkBvgWt;q^#`}Dtej4&nt&lyd31n)Z&<>=Baxri)`%8c>& zQ~P%5^V}|9R&NheN>1BHPb8w%!8`bg0+B~vI65b!18Jab-;+_3^5Q@kltT4BxHN&S?||tOgKqOL zfSl>20P|IGN|~#g1|Q;S^w49{el~N4484%qSf6CtwM73GUa!N#p?~A^N|L^gU}s7j z(Zhr(y>-)_<3`gnAfM?#-{cK2pgiTHX99X)Q$QlE71A3y?C*$gQtiCq?N-85CZ-8* z86Gk`WqTe9PCp1$EI1W<8eip3_bls-0sXQvL(GXFj|odFGcqGe21Dtm)g@4$iTkNO z4su#SmWKngmZs6~Rvyxqd41BjoOJ?&oxgrB`NF<-(QZ_v*2xWnh6BAFbEB&*YF+N* z=Uqa4B*&6t-(#ZQePmonE1qx26nUu+d^`alBe*NlQ!n}Dw|BJdQ^QQi&Ki^)Yeo`> zCnOy4ncrqZBVQ_Fv|l5YLQA>|^v2YeUuljNjhl%%Q)&z23w59+3}3ms)+@F(#!BZq zXvC5>TM2azBjVRSNuk&~fYEo*=jE$E6EicDE0wXFxWQ-#H>R zM@hcjzy`elK1s#3pr5ei++T$Ebx0+ugm_@@bOUWGh(WGDY;Pi9I3?O8XuU((liKqO z1`ADO;ElDR;+E|@wB}nijQ4u=9Z$2s58O1t0x;%Tr@E)~<#`Ag6qsQU^!B~v!7n2V zjJfS+@!Nt+ZbX$wlNDp}O}_*86zaULQ2W%31T;@MmYx8*apZ1q8P+NA+eMBwf`Dw; zU0}mmLab|2U&`J^*%B*{`!UJ)OaJ@2em}qHB2F&0T!dCn>|Hh`3}xBQjv4+0B&rX3 znwc{NC}H<0`aM-$${H`fc~4yILD-`=Qqy4+s*BZx-%j)OwYxM1-AefsUwq@ERrm@X zFbpIe`eS@yDR-i*n99AhrSUhj`4e#|8}=Eu3Lq7Jb&Ple2M^$rg^Kx`{qmrXzau8~ zXmiHRzExdMRQDGXcNJ<{Nj7|{wU`2XKLjYVq6S#CVN6WN6KHyCxNf%$(%NHGB_r`8@<_}3Nnop`p|G>|&5vdHa;4Ls_HjP8wc4#lqr@*@D_55C5 zpncb1qS&O2Cb6?dCS*i9_LR z+y_TQcl)8|@Y{iL@A%R2mo!c)p%tHXI2Yfi;P%6jo$mdee;5dj+C1K~NqUiMmVKX1 z{B*_r-+g>1g66%otVs#A2&96(=Y=U1U#`4=1z;w4n@H(|Tp1O3&rtoKjlIoC17(yR zO3FvEj0YBg&O>H`d4&-=|K0&~r37N1OI=`pYQxB}2cBTx1ZI5WIpa>3_kxiQdsubK zb=I24qIIQ?@uQf>D5af%E|0BYKAL3$sLG|pA3VLrpUW3H@^Q85nCxbmQpJw`?f6e_Yqy8_P?uG^@*-m*HlFbBBMJ-zZcB*)Ed951hXNDT8_{{nxz z!x_@l7*VP`F7bKz(%@exUdvKNKmlt!92C?&b{pIbXR_*_?n#B3w-WbF-eV+5G&${! z*_t8ySMFJ$_O46Z!>MMue|us+;4;C9gn|Avb*%GQOeP_ewEGt2x4s4HUn_?q;22_q z;o?^bqx#X}_M6mD8kuLK`Zh@gk-kvTU-$05sA?x}Gg=e*S*>tm%#)!deFQ@gk!#m{be|o~HK~;sr zc(6I@hswJjrr+M;LyOGBrKen%BftOeM$YDwTeCWz#~+IovI7luq&MX}XJv!&9IITz zJ4DMLOoQU?X8*t3ts&B$7DuNL;Pvs#j4W!ZKcEx4>XtV+wCd@UiT%)LIZgLmkOoDR zZnHrc|F^bR02M$15eW%&+KvKa$zX)%hzXPZ5~{HoepHj2mnbe-T@e#Qvv}>&e{GgF zL3@4P#kA0C#X`8yrEcxmRrxFTk}(PdKR zShTU5^{MBRa;Uu7K}Z5ju><9({Z$g3}-74sz^ir|eo+6a1YP zNEVLFu0ydeauO{IoZ#7!>2qvw*>tO;s@MkuYp5ORYek9m{Lt}>)WEhP1u3m6&#AG3 z@|3WG%9UY^StVRHLbV=eBynDW(_06&KzPUFpo4!dDoi6bEi>A$N=L3p!;#GjC-z1& zF4_dLPX$kdS{s3ot{IIT~}r|R-SWs8LRV!09UK&Z#;H0MChqfD3UJ% zT*`-Ty0~blVY`D+BpYwo9kAA49~434%{=>>UY;}BPpi+y^(_P$R}(ghKB{71=5&?v z-I&ZSA6=QMNV&yVF)A+nb7~IF7*$dBsNzhEv?9N(cFFt5s$2BQ_n)&|bTF#d6%b0N zcJ`3qZx2SlYEiW!Z6jpc*#kEZC^f&#vGK9G7s@7r2T*w`_{Pm;X#o_im)h z%{DC%&H}XedhuJlhyICOkHhy**s$<=57SZEK+ntFQ|i>Wc+YewYA+NkV9~9MdO;A)3zm>_0DY zitBiL)M%+_&k-IAoS50utWquqH$Dj(YYw<;cX9Ag0-Nwr)*a&Y84RjU%mb+a$avr3 z(~PIqF6iu=##YdSR@+9C|HwzeqrDVmY}Y4qflvEGkiKOskHDY+a_s4sY@cS0hZcb^ z{I55!Cu6Hemk|+(^tyf4t8Vrl&pEsMNH_NElaxH=D6rk41*(O3kERf&$fx+5q3S^6 z(M?_$Sl{kZ^mqL`DTOPZ)K=r;+P>yH-mZ2EL{KqP%q#og+j?W7WS?#Iab`7=r5n$P z8{HI*N(U0vBdqlr-5!TJ<_y~g5|^dr+31*3BD;L3572)o=+6iWq~t@v1F1Xsh8R3Y znVvW0tS`wFZJ(lO!UhAWy(NhX_}ply&0EUMw>*HC%OCRQY?xuIX_75%vU+Nk;9?d2Ik| z$}6=Lr_QU7>^DveSaz=0zOG}_kzY;%I*+>X1R3QW?XDl$ON}3JPY$G@<-|zxU{LWT zFUBaik#jjsi&WDWwp6-H+MLxG;OXAMrkN%n=;agRn@t{yq)FuDD}J6Q1-D&=nv)zc#7vg+LDv|1q zzq&w9>7+ZB$FAv8mnPGI+9zLKur*JyQcyT?8z=S@lpog{zau;t^*(=&94kAiP`Q>K zgWK@V92@t2R6crli6r8@`htW!fV8-A?>V=^E+`unezA~^fGQWPhxN_e67;M}m%IfW zC~hocMKADj5-w@GGdF(1b3P6Y_b)t;|0bKL=icG0!u&q87U#-pkLp%?mB1(4L9xs( z>n6_S66@VsGdRf=i9;{g>tt3NH08MvecH(RY$%Rl>U zyB`M3EDbTg{tz=7WuAV3dY|_SFvf%>aO7&n-LkW>VX`hWfb0w@<>_f#(ik@W%3N@| zkhsYg+PwuUV$MT&I^NK$$se!^8p;<;m68Sng_PlNAj3fj*GhMrn!ab-yT>WKTPZ%U zhHJG8^`PouYNQG^@DpcbG3ZvPMNVb4BWuHENGK5@N1Kt6j1(A2@JMRr{^OYlq*^iH zmahy29t>1>&gMD!f0WYe#T!$fYf-y9GYw_u^AzAdfBUg`xM479I&3sH5Q0$`I@>da8&=sdJ0siDFnMK}_gzg$~&C#-iAZ1xH{8(D>AC9Cnq{Zpc2WSV!C5f7U-NG`Vg3Xox z10LPbFW&cC?%2VF@GzsjH?d)^ETSsR)$qFNqQDi6Iy@-EnjU8KYyc>9v zqB}4kb8@shZoJC1)+fP)W#2Vg{RZ2@C24*8J|7&Q8~|cP2%Vmt|tf{s${YPDdD{8R6_3;Pow;R9RX(X>Hr( zIsazkG_zsZg|=jyO&Hm=@UGlOVE-F`{i%?v87%~?{;!Q44uW}VwXX>8mm4Ibg)j1wr~e|7)2AqtJi&9MMtV&XIb z=a*?9LD`Q%$Um!`zYU5wCBUUF-$}ZsG0_Rswd=v=*W*sCYHU3xp&_HWr*@cNHJl)+R34Zsh zmh9-7i`tpYt$A0AiI=O&s*&(E+gzcv#Pl_cUG@hC;|W#Iqh5YDSc+=n z=o>?wg#!_}!*%@Mx*;*3pux2jRm$$%%$r~x7LH`*8=wb76z4X_FBs{L+3i#6)HrLz z7Q67z#oO%YjMR2kL;H28Gq~Kmw-!mEB~e}oITlk|K8sI(!osRn1sgX106ghdu7)PL zUT&vlTwYd=hc@e%GVeg@B%|l`cb?+g>=g8Kc}(1g{DH>L(qjGoXDe?=UR4FBQ7UdUvj?Y~`2dX|V|{mq z;CWkVJ~^PmjJMegXq%A7$LF5MhnvZw1qO51vlP9dfAbn&QsS%CPeMS@NBJ9N;d^ec zsvMTtu(a|6EZAQjl%!2(cOJ|OgPaxjOq2H`ef!iFRRU%pTN^LrSMX%K-a{WOeeaK> z&1e;>2BmVr4?jvWhi(tS4Lz#9fgB;Wh&UAhb3Ur%m)?)MajCQoV(7-=G`4}li<1o3cRPkvtV zNY7^9?~bvVVs$qHz6lbZv3!UJ+}^RHIcx$)Z9NCUO`C>z_&<+~quW4WM;u)0|H=zv z#IuDIfAZ#R8{syeO9H(W1}vrr>ryXvFPtBcDd&Yqg#Za%@J}qZ)_Z2~j&{KRQ67l` z@nSOD6CMj23I%cvT?1+$JP z2fZa$_;M=^Z+VoDJvEflZJYmRGi!6&7@}9Ie6e7ho&1u^*?clFeMz&>#T$Bt!_Yhs z>b78S=Khm!LMH6WN}=9(3K>#O<(%Pun$9NC`#>jqJOCu045H4ya|`{o?p(qC!@B>^ z`cmWtXxBXdzC3^K;NYDRoPSrE%!a)+#>krsRj9kuK}cuav{v|gPvlr(2}D=SL#M8DCsgo?DHVL*58LeT}Qr ze(=6ot7qf(DamqzuafVYvLUlCpIIpY9N~9 ztay4W94G99Kh25M70%3vyzVhNRq|sU6R%7NJ^v>p)s}e)D!6})=I^1;BFOcO$V_nA zFCHiQKDM3q02X!4+IVLA#5_a7fl*giH}$NR?eC1=wzB=ws|VYNlV&v069Q)0R4hMH zbDP}QRa>!@(br98e$@2&OT)?zr>)kz?Ys=S zO@!0Pm6j#IKj>TK-Qm1=TD*@??pH~HL0wJ;R=ifQE%Q!3;t7_ZV8{T^Y)qVRcf<7!*6@ZgoC+c&&T&b=TB?-`@~p2-PaKkst`tabf|}4sV2o1GjpsCYyUs z6zo%20(~c57R*6$H0f#&_i|XUpFAB$w0iaiN*3*SLH&h<^r#lQR(fVI=b+&7%#3SpJI@UQg}wL-fk?6d@QDl@szL!X;bb4SQY@(3_$ySdv>YH3P#Rtdv4~;kAUh$-BQQeom9;V}nU#=&L!w3?n&nPsO^S5o$7pB^9!sv`eB* zkKGJJLbw{aY0QCW2RxcOcwNujM&?aH2gSrKkyWVr`P>GC2Xb+G+okMhNN$=l9U5eu z`q*E&>Q>u_QFx86>otz+_kHu%G8!2mfu2h?Zr_RzVh6;SVn?Qr*&HAv*l;OrQAvdfrzri@&Ev@ zDnOSTiH}XfW$6W}nz?d`w9s1Pb7lrDTCNLUJUJ9hH|;FS=ChGz)~I&RzZRKKySYS; zZ70l_LPYC$bq`P0b8<>=9dwpjBAlX$HsV&_W=^+dLm~|e*_ONU#C0UzzSU#XSU#gZ zY_5v+r-K!>f*6_XKc)f}!WjNyM|1n*QU;8h>Ea|kS(^mP;L}*uuKa1Gia2|S(0}}b zS?aI$>=bbgNl*{{qi0*usi2B{Xpj^M3H*AojT)n49I?ZfHG1`$9bGbiIDPuWocys~ z^*c{L9v18F9n%+DCmVXkExNd%5J$`S7VTXNbO#Q4`dBRTdhp?m)~yd1x;t_RY3)PlSJ;&ueftP{EJb=?i!_&0_T8TbX-R1iC8S1>7#dMQkx;rzKw22O z8>AJGp+QPuXzA`6LSpEU7`nU99^d!;&UgLJT4%9X%YPX6p1t?8pZmH$*L6!MWxaju zPbKBIl2GkrBt@*{S^1+Y@!0(uTcVA4EL((_u$szx0p_rEAl%$xFCQn6@J!rMTzNIh z0!w1Lt%&%@H2~}slp$~dlI|dOoVe!Veh5?V*YW{ce14g2sC%$r5$zkeE3@gyMd;+* z*X(KjjiE{DgLA3H6)e0*{O*m3Co}U-Yx+N<1q`oa_q}$B-#(5?$7+j8qnj>F5Zw7D zwr9p;R->!rwZwP5CQe$l?W}fAaDK&36Q?OLobSjtR(%0ZnXt7Ifjbx!s$?bu_15aN zK%#EXhZYHF!r;`mDsGWKB8qRj7V2~Vx-;{wer;5reeoV>CzG4Z^ z>X;2p*x5=_!#0VOY22Hgtj()}q~V~J-CRoE@0t5eHa}+fCsVy1(MfEe{p7Wd?2&vPw_I=VP5c;O!Ahv@5abPSuxV!KY@fMK0 z0>Pr_FGFj%-ny@1BHNYfIydfKw584`)d%9<1`b|(aavn%8&R4SO#>Dx&WvHP<*oa& z`YM8{06q)sdQo8~<+Ww|q~Y(SQdE~`9DGCFRevB+3If{|<>kfSGtR>~b+;)>`bDjB zIHmj-htZ!1q>R^@b2+>V=ba_T^e!#t^_lr3f8X(lSL%F~wHSCPY2jER7ZddeXs9BYWAfy z9JdTHWWO^#;`*c`46Wpu%xYxX5r-($T9n+i;J}Ta`&gKrtyzROJD8kPc&;H~<`RG6G_cg{Oauz4Mw*YBvBaw=46yjgE@~*aG&%UUv+|IJ2uvmLwQtYdbr!+wL9Hm z=YYAJkIh7=t|M(GO3R=mMSl5jt*XY%!DHFpN-yq6-0!_(*3|Wz!g@m}q+eC1>V7pM zoA0Y#$E!8w=8!E1!?B{DJB`E6%2hzMFLvRk!GEwd?y(UMxClb4zr;4#K*vb&724;% z>!aSLct|hKVhVytU9r#3$8W|%ey0eUEi`~YJ^8Bo#wBC~Bg1F7iffpJXjt40?(b8@ zISm#&#sV4-BkLP(6KvP^U}=+Y*B`qrdK|`*s}eZ91FfSweje^MvOBcXxt4rzwNT^r z=9BBu2jc(10GxEo0Q!tYiJ_yGA8{9#IK(UJn^t8Ifzb~&dp4zFe^>Lhy5Laoqk(vg zGs0Qram#J$P@G`PIb z^B=?Aqq8IJM&o#&N>5@UF@VzqPE@6#bmH#2MM*98ha%8r2C7vTPPD3G7yzCJw2kogXj)ZCB6nFcyT!ZcsBzTO1C+=!gYVd-DOh^} zwG{&#ECTsQD$>`i+XOw-x`^jmrVHI$9f%rx87xSiLX?r7A-CGDHrCuo35L0vk4uTL3fqA~tL(j_PlIrqKs~0IEGH6k#<`bsf*;VP`neMz6s7Zo%Pt(s2+Kp9JZa zE1FBH(NGUtNI{H5pOF26O+Jpdca6^`$B|)RG4qf zqFxD~MLBjYvT=y2@kCX?c7;qdMKIpx&8Il0gtx$*2yC^uMv}n*m@X5jN+tP}5PmAr zcWr}+Z!2$qQFNp=Y8F&+^pzZbi3T438@DMiou`=M<)5Oz%yLUoIf&^#?7ohV$?nExem!yBNmk&G`qE} zz-=1nkJ4MqOG-*cK0gxbOrGbspc!*OV}PugM~97?(tRuTQSU)S0fwIfFT ztO+9Y9zl;x@vj67( zFYeO{S_kkZ1U#2eC_AL?=ne<3z(8GWodij${Ju(0UbCt4*}rEHeIjirY%$Lgv-7`n z(~Y5ki@Djp4PVJM-|jA+3%SDii?O~FGXa-Rz^`NNg?*Bj`q6LzML^vJx(hrr#)#LP z;rnV0VR8Fviy`SZ9|fOVKSR~jnLorxoge$pTq^Ar#``gy_I^m2rmk8xK;s$2ZiH&! z%dr*NP|4puzk}KQYl?5M_NJE)R-Gr-4#g+8(i#T>kA5(i&)Ni3^j^VrO?wAE)u-8u_M#yR&$XN`J8 zTgc`3t&ioNvRoWUnez>-d{RiF7)6BJ6|^#E!Rw zNU_3A7=9FQJ0}Sub@rqp=0CKFXR*sbXutVN%ZLP7z_7=#A+Zt48FNXBwB>SzC6|1= zy*TIF>z`WHP-x*EyL2n5bto8f^`=elO*n#)!)RcW)yQtwr&%soJN^9~P(IlTCtMk# ze|&(Xpna=pnlHfwe1oNiMtc9F4~0`yoGYkMP6z6tu31q8moWLM-R=bkg2lz6LG0Jo zyLWj#eKWz%)|0rzw5ez1TPji$4mo1_=UP!{$e{O569UzDZ>tCn*$xZn`i$)~si7;3 zv-JEI!K5gX`uQuo?Bpo3sf|WP2aj<`Do?vBr$5$oY{^$p%y_s z_><(!C`BB{=u6U!e3Xh6CENWQ`2vPXjnbiKY#5f!KI?lwV>aH|(KWhH?wLetOKsC8 z;~^i@%6+40{v{6aF;cMO{wwB>4pDOENvX>&Ptn?;Kw6XEwm;skSRFQPN1yw`5O(q9 z=4EQFp}{~&!?HoOgDLgwc-2?i&-+Gzt3zAPQ|#XNj)CNfxbIp1v>Cj0ZeG)1SSKZ= zEB3SHvZ+iZccCT)GAHn|yyoH=eT{4GiMR++4^C|gV|$0T6;xjkDGW5iY(TDmSwbuGOKxeT zW+A$WiltYGdgRj;p1~gVvx!$izWvgOlT#P*(gi~ILMJ`?F@V9uiyDDV=08~DSg^UQ za#(qT7@c~u;I{eB96(o_ca%?dVVjkWvU;^xMA0i3&%*gB>EKOL9jZ!(J~!^OMD!Y& zf$&Aw-7g*BR^z>&0wt6SVqW#NKB!o>s@VjQO;1SOd(6;6fDM~nnwa`VPZW0ATjOYd z>X=2`$g4H12%=^olrE5T-ff1t-|fLnyAl={f-9T2FsA|?hY3r+ROP(lOXVTTEia$Sk*VF5_}Xy;o9Od&m53t=(0XA5bk_A5PL=A zkz4PTySbKfy&$Kp3iGQ2JYXg`7<^@-CrYU&O%EUgI@5mZJNULPTK>lzP16c8d;idV)GXp_}{&X;T zz1gM`&KU1xgPe9_Be(c$UBrA~mH2AG8)gkFF-rj`Eg z_S{xEf{4Pjq^`V&VtYsUqJTV!q)m^p$)OO*% z18L&yG}+bxVMHRaS(w-eP!~DL^$OfnLx&%Vaa3&{Q852R3@_v=T61p%9GRn{yzi?_WD&{I&LeQa@tO{UDvnGtR>N%-0-e zYnj*yn{iIJFcqzh5-K<^#EZ`(FN*cX`Qvw)BUK*5xr}X%=8-pD)r0Cr(YU7Scmx!$ zN}SF^t$YeMody!3*J-`|B>@!|0Y$}|fe>2`|fZ2=sy9r$f3=LaYIUcD^KWCiDf|49h{oU<`cq$v~;m?3-v*0 z`u@he@h14$;~NWME;%97UB&niG*RhSi*fQk?Y~{2o`24gWq6B0p=PhB89aq_)vFL{ zHQU>?6?ux(gSYK{oEAPU2XWw?pRzGTPmdi&&;N-^B*xJUy-)ErmS5C9C^Ny+GA3+Z z^t^4QN7v`bX0(C<@@;uY9gdIVtNHZhWQp{i^0*$iwL8y|4?hhnmf?ah>V*Mo-j-0o zV&Ukm_8x0hOk2OOEZiYW2$nmt-4nTYg}GQ|CDDaGmJ$rrao$>`Ct>Ih=MVju=M$Bq z-~sq@aXF$YD0K2}+kyY`?o>XAnc5m!MAxTfky~rt;;3-`+~7!rZ@Y#4_N$`L4z_BQ zP>Hor-cRKl)(p0$#ks1Pg_-{J`eUhR?|;;dLOz=(<||)~<3F58bIfuj9wp}WrL3Y$ zJY0bu%*Oyf_=m*dTWG)!Ry_E_ku~MSSA@X%fm7dnyM$|q3|a3;#C6Vycx_EO4$u7& z_flYkiii7r0><)p3Q|397b7eYW|olxH9TEO$JX+D_vF+e0BHr4 zMUhqy$XMB!&X>gN;KY-Nddr;>0^(7Ak=tr*yDMN#EOXAfCMV95F$R)cJ@mih#wrlP zn|zqKWJIVsCn2Jv+hGDzp{2u12DM1W9tZuI`%fBGHrTr2=L#V$X(v>O9^*7UASsE* z`0YU|@Gd&W!kg;eVu%9pQ{#wiH=O=xQP^~J^zo5(m_x1ivF5e2=Nf6PFwj1jiA3&OJpuMcM}o_}$L=#P0ov-L@v6tVTSd91T|V%LyOb&jiO73+a=H?F_2rXl$X}u&M_KAy526L zSgvvezW^ zr!-i%Cc%$q-BN=XB2HwzG*)b_08X7ZbK9CLxthK7*m)?U`7dZ^WN>~LE$Pd#(J45&$G)Lce(|1L=%lt8 z=Hz#*^9k+MBk%15-?>xi)Dv$5BVML6@t(ag9K6?w#~Tn56CQOh2JA-1DDu-?qvESS zxI1+`KD~^0-NI8-21s1ri2Vb)(O(!T+oB|Q=KlxUB8PLH`OYOgP2j^C2lPG(_^ICq zAWb3Rhg0P4Dt|N`SI|N@1@g#p_yMwhtv?2&SX(c=Jy$m8SC^bpTcj6gLHs{puWalM z6{M&syPe!MztA4vHPt6j7tfvw3kAM}0G8LL5YQRJg4B(b8Tgr>!rgNxcuF6@OF6_L zm(@T*P@B3(Gx)%oZ37 zE$kHVzjbT#+jqzopytG29W;u6CBg=1A*dgM6%NjLiJJH%1e}vL1MzxFV<=zCLH&?t z!&sDYPpg@6NEmKRq(7zj@IT~Kj67}`9mng_JRu-qN8@bhEbCvvKE+#oIXv|Z=wtN# zU2a*6@8R(c7{F+K+NSrX17%eq=k zsds_*pdQOExGj}Mr_lO8@Dw_W0EpV9X>Mmfql@um(6Q+YNk@~hG@04(cy^JAMMyn1 z4XN?|SF10w1+=>z`SIJX&rQVs+V=>p{0n$SABl2$$AW`kgli4V0=6wvSmo%gRSIB4 zaB3c^uwRxX4B9={T2KaQo`Be8xy1ksDLlR1Pb0-gs+_^25r_&~y_zvx z8jiyASAw-f(AjWXyJRa4GpsY4DFh9Y^!x!RM0u46Pge`YWog%RYnWx14@(*6R_}0wTE^@>BYF=5aBe+^ekonN_^#B%NysgLnc_l9ZQ|iOft$i zV_Xt@1_)+Mz*9QP0#j6~eEj(f=0e#^z2F_McnR{0+F}!~|MP{>fpGU(@0})&JBmw} z4hH=P3tDI|4sD!S1>|-PJo($C(u|{V4_+}VC$(rUDPJ~-w1@g4q~bPA-P_MRx%dC| zEL&5-CDuOs4yn|WoFhDrdXtQM4yl^*P2*+yKMgUmN6%$W9<=E_#3e!i*2#0+KmhDV zT|Gyl^N)R-%UcWt7>*x8*dBs3AznDC81$b9Wk$S{6FT(9tlN(5y<}*RA?Y4$4fj{y zb^wbqbh3lh-t1yQ>8rl?4A=&~Xb*qKx8DRbJqZw#G8A?O`>4gXvORT@qDcL||5)SF zksx(&%LC)pqb9W)S0iXc%L$v%9#b~MX^1my-se(%r@m4ggN^Hb5i)vFtpj!Ixg&4f zk<8F3Zljl^LJxz`pV^oa*P}nSar@q%k$ft@f`f;N#JLD94)=f4FmAU}_+r3(IVzQD zG4OY-oH}vN=sK>A>?U1*G!w_d`lFQX&si$Mc5}T#&d%jTy9_XQZ`K&1Dc(EZu{=ea zyB+1qrJV)q5So_5qT;&OIW-1F{ajPIx5{jpl>)C&i-wHx&^VpzfkRWn$_1In1G@Eq zt*H8iW+S^V_iF09yKda_*P9%(mJY_8^L>QzC%hb}}H#E(t|(>fcQGNQcTKUP8^W zdx7qL@;dyTH}gFSXajSX@SM2K-MU*GB*^8F)E;{HjB5F$0&ZqOAP{ z(Q;nxhU6vmP1U@OnPep`qwY+iV@kh6xYF!>P{(lDbo>X{`DRv+8@qL!g{sojyH?^D zjy(dSiuf_NX266sAL^q^JAm)x*K}RNzXK9a6n)k9b)X{YM$5>z88E>RNq#Jdr)(_b`V!nOWf~P5K1ql;&xkH zZ#FYFY+Xh4Vbe3=tXDDHdyxLBiu6qUP$rOMSydGqTFKWj(6CptZo#=Wv6k?YWP5TPx@qWs@-^VJhhb?)Bc*3@mBb?HHI?l>|~&3cSv~hSoK+W1}w73y%?hSnjd` zCUV9i2uSyiM$0k2I$>cXovMf?_9ck*TyL2B7<$s%XQAEk9afu^KrH@3MR)7bs_`|e z1JD?1g&g1XdB`LsgEU)8W~b6!7<6l@hrA+63_kE)m1Pf3@et%j*6f6LDRf;yt0s!3 zi+xvB>(CAS!jX&tb#|Act5~Ky7T@|5mJ(Z`ZF7lCLqg7&d5VyxL%(`1+#8(}zPleD zvRlLjfa@J&XlHdSot+UGeWT9&nw*L;&3Qt>dnm(RHo>} z#Dd8ZRXGuy1ErL(Qs-WU9CuPU4al|k@HH1JP^|yxW+O);eQ%PieP7y-PmU+^ z`KQqdL9LREcysiJLnYXu+ue8*w38sPP&D<5-;J{GwiUqBVWGMLwENB0#%It{)0Lmz&9EpAMgXZ zxF+kDUb#$O2G8E0VatNnf!v5D+ZJ?;T{ej?JcG8dAU-kaIWX5!aRJPPPx698SIA!G z2Q`VcS!2BChdYBEkZUImY&A1GTt{dW1EO&Yy1bL~I^}HBbCe-gVhI2zDt4N2%MatBy1;q9YDM@wQYkxT5z?AFPT`>;5qd@ltht{ScIP#d-tHiTuqXE22eQ z3fTs0)_<`M8M6+Bs(v^zTR!GC2ZBmZ!Sx$!k9k1s9TC!Ua1Yz$QXf=Lh#+U+aqM4OkmZ3rG?B#F2UNep936(@$Y#iTMnlOOZZ*Pndk85ZU3Kp+{I z5%9_IMz3&vxsw!DO5oA^6!Jv7$F<^$CIz+d`>b}oPf>%CFVw|wKVM&Nb{X1ASYhnC z=kw{Ii!W9+8qA3>|LwN61z7nKB8x7WVmEZ=BY= zUyD{G`*3RU^O)xi5WO})OW<#;uxHM*zwPnfaOuXoeGXT3u@_%4fb|Y$3fU?11?jo0 zBmhe`Z!DuSKm5V|Tw(tAziGN%F&X{u32HQ?+TZy)$a52V{Ats}lmh>>Q+60+91e__ zVO;5oIU}=9yoz|;mnWCpL60*nXmJxQCO^nY5N4gO4`Dl$$onYedyeTK zB|Stdh5tMcgGd8gMA@mi3kT9H9UaOE4pZ(B?X!N@OwHc#shhn+OI^Q(+qlG0h1-bu zz?|WD1eZW6Z2&oVrL{qPgChYtOuxt1_hY^5o`)M^)ir;%w-t0IQ1WYay-@6#ihj3< z%b7y+qPCGqII8E!uSK`uyrxiZm5TwWgw=MGt&stEfq&D)GUqq<`_O`pD>+nT_rx?UVLAD>pC`Jq(0 z+kal*8)fV(X$sojnfsiVD5iB7?Cd%_5c57v@qRm&#nznycrl}c{bv5OKoZqMS2j|k z3nI49%`)c9qm@I-3kyx3!VhVZr&kE12E(5}-#)d}W|v-N#Kg;#{q=ZrH?(J=kPB8% zv;9TN>vV9$gY}s=(<@v&sBSfn>0s$2YNa^Wv4&j`WUzkjM~y{<&CoGT(kD2 zgI^#vWKx3?|NoRD<`swY?{H9%VzxSzJDn91S?f2s-#sjFau^aNoC?p3?f^oQ?dz0b zQ60GXVp_9w+a{~)^ND%*!+o9C85>!xX0#mp_3G9pW9Ppi9(>ebF_fx4}F zw|m{X)fvJzC6R|Nn3<@_7cIjg&%QFvnlWw$w_Fo_dWu=XPqYy_wyY}amepU=5x~xGAA!h zMD2=cxy=G({u$@NG>kDF>__iJ=oD2LD(7)>IZ(g^4ODV-TP?Qf3Md^Ebecjt-C9uw z-WLtR3KGD8k%wROd|(FNUZL84dbi}A25)lr1LK2@lpvqWay*eaq+P@tWN40`8-C%` z0A(lon=}9TBcVNzaGbKfEQ=|_<2)_31pfZVlKLfM&!38TLNnT|7zv{*pFf|^{cRVu zm;&Z}IcFHu!(ULPI)se--J$m=HjtajpFW`}FjiHc<)oXzOK%_9oKj`AzT}ZzQUlC? zc|jF_5_yf}X;?=c%=kAWrOI1H0(e)yY$9na@LgKP9G1pEwfRbfFBZ|&@*gQ?#vFGz zL#oHtHcCYa_t-Q6$AE!!k7$kDL5&xO~qlj&`094?XA)C|(4B|+GR%V=nmbzvzNL+pui;hWWhue#0Jpmm5| zL>6DSpy4d?;6Zj`&_eGzC0wtFHz!T&(x?UQ!1R&}X1M7#EG`NF7jG@mLVnG7KeYk>h>gqS@a#i0Spp{ldh2 zt%}b@dfk18EW=7-%LWs9m9fTIDHCtJwfB}46(;%J8?)L4B2F?5skP{?DgqAW={L4a zgLhj~01(d+qK~lCm_hq%J>p5k_W#kNfH3-x9#trrukfRHDektdl$D%RaSCN?bAi;Y z&Dn0(oeHnmpIai}cGEb-Vb<y2oquONK9}^;%yK45>mT1dq<#d# zmFFZIe)r2Gj|hpk>NU_*AdC@Z-N;Q#m!FzwA#LB989Jtrwc6-c?+3g*h~+%GwnX?6 z+JZ=#j}4bpG~Mlg^t^W)LNnqIdXV|P%hW<~9nAsHMEt%h(3H}rv$z;oV83LM>`e~W z@F$uvc<32U3PpR%n`a__WO)rvLggjdWQm>kldssSkE@>HmSal&N zEO@O}#J6g2D=TQkP)QE7{`fp&KmG5J|A9QSd3`A^dn~`meUNH$2ZZGZ)J5*Ic*vWW z&=;um(t96v=>gpoK=n%ju`BPZRP{h92?#6;&o#A_pdUF6FC74UJ*vbrEc8PQSOx8o z5YO*~`A*D6UJV?v99vzNgj!8$`eCjNv&mbS2$9{q^H_QYlk{=ikI1CwA>WB1SdL#2 z!850?345IX$+l=kN321b3f#U&^@syiRyAX8oBC(X0yC&EFEc)=SMd?z5Nxs=YbD7@ zrUx!)sJ^k+-<>;{&DDa5YDb7!LpXu&3P4(H=3&0pnTz}3&jbj(_d;vwbt`ux9f0ZV z1#;QDauN^lF#lT2dt>l;H~R@{c@jg;so`Q(GcaL~o`37N$JnA^_m)~d37kp>&!TW2 zxSrUduj^^8OLb1-`Rm(#Ui`I}(@~D&KIO$n$?gCoo0r)pin|qi7y4XJ^f=Pg03eRg z`?=pU5rVfsLM11{He0KS+Q8%`t(A|ayEqG-Is-LAlw&y$JFax^NO#2ai9z=A(D`M zu`yY@>3QN!Kl9px=4SjY3f!S|9rrG7&yktlpjWFu3dnNzgxdl8$1_;Achd8x`_4g+ zmWkE%*+zvBKD`~QHe5%{*=zg@-#3y7Fdapv|C0Lj%eVJLfh8{p6y+!rJ$roy)b?|^ z6mRG?(yJZ%gqF?1y5&x)!%nfD@5m}Ex2X%vB;&T*_&ug7K+uj81L zAnG$oW^2vgS34Y`LmVMQN74qpN@%c< zBbKH>vwniUb5r?-Xo=BoRYrxnSmLoalkN4@L=Ot};WbFx)_l~SH~H*6r$5*@XYclb z_3hRB>T=5U7MF}ImgWUA3a>k%flz)#S9&W8XfRFdO`8z+^ymp)RMByCNr9*=?qodE> zV{51x&l0Psi#5aubQ)iAWEz{)PNHueyvL{y3S#4Qj4iqqk1=N9HzgW=k~wCYFTc2L z*;7{iW})=IM_V8CN)W(n;*Fq{*mXyyglGhq4s{3YStZNy+@GIhDxd+&JhpGrgDbLE z()klyZ?7Z`&J0j@PgfB{o#nlzDDbx`sJ{*OzE#Y>64Yo{aOFnV|A+woj*@USM>tj7 z>8~y2=JkQ?uYt42O#eOl2~Lh@?f`*})vhNatrWn7u3tULQeus~y8WI&---FAsMj^a z^zxfMHI09+!`B1WzWJ)~&B2%Ni$!@Xm@^41O2hRRxuT2{97;H&Q2u5DVUcAvdY=Jc zd-&o(?V>1_VvN4KwbV2h`>K2=W1SvJI?+h+y_Yhh{3)KGo4i&^!b$r zs=kW~P4=TF?c^1_qc6V^DTfxH{p$L1=gGG)+2mn6z1?eYkpT~8>I}tVnGYC2%zgKG z4PO1_BkpH^Zx`EPjw{6?N701WAA&D!F-`GD+@ASdk|JqRL<(-OP1e=8S z*IRVZ>p86^DU_m35$#1+3kk~pP>Cfs2o3hsZFCgREFC&vmB}JClm7A-ZuxM~a935& zI&gV4X=Phk+>rK)KCN(&xT4wNy#H+`>wciSc{2LK!dD&`T}^Ju9-_c~*u z$@+9vs*=oYlT4iV)AUNc2Jd8?;sW>{05*sjlrv7M{kqag5{E>}&6P@0fHFv=vA8&} zxKL*RFn3i}ydKb+Zh!4xBAB2KYpPP$1C&pUwTCCuPKLvhvcA8oe)Gc;6vTQTly>m@ z@aBkfQKpxPxUD^buX(If*=mX})QPmw_b=m^<1%!;Q)=w=1D7`|J$HHwH|7H}2#$s> zalxF!s*FB*8y*!cZ5OYFWk0X5fM_f#1&g+5w20s#+UlUx2at=5V^y#= zhmfv>kebhhfl|3b$Qax*#Os!^m4u@ELyxn~^I_9r+e6v2C1HJxSK%*{^@a1sh~4M@Sbf&_sF-+W|Rd0NXf8^U#ol^rHXrVQ0sWqdcDOJ zXz%q4)!jO@w`*g(dQ&<_68P6+CuPw@p8V4-y^&qjbE3KF7imDBO1?e*2_-TbEG!6m zZrRD$U9wlUu*K06O0U|Onx;Nm_P{qA^fYIM08QDU@+%hP307AQo@B=72V{CaCS?q; z1l{KDZr@{F2@c+M0wMnn1JX?EB|4U8KDJ8OEy6A_$xP^6S=NWswS$MC?tFeFFUZJ z9tFW_mnxeALk&9&d`%vwc-?pSm!3w{3M=_o-LEcc8E=JouKw$RrQaZ2F=#rgd<7!3 zkEi`wPl?7f+*{87ytO$Rv+r4XsXe#4F2w zu_7jEMZ({W%V&MM!yp?)vO%#yr8gqzFd3>QSutIWn*AB@&|(dAJKd+7h;k!EdrWZiN&$be`PG5rc4j?HCWo3SD3fy;MX zG0S~{|9&d_m<2xvVoq5FO6QC~hh-n_BTop676+x^QPu=$g87XR_1GXuoy21zQmA=XLeOE`z*l};1|}uo2`1NUl3#nAqBsV#WIv9d z*dHK~9JSKRI%qJC4kQCiMG`=LrgI&{AE8WO_r>=3{?0NTEzcN?uE?iN#pOzc;MFunZ28dE ze8VLCsj=4=sBDsR49P}%?bhpO)YCRV22{$MpA-}>N1Jz_?ERKf2yZ-)Wl8?_Rw`*$ zuV>2Wm}h`wDm$G17@gK?Y#}vmVBXHgqZkqcAukblRl;F`@gUO%V*pz~-m5+|cci@0 z%Cj;6TnAyuvkkBT7TCQm>#emq@lo~9*!fR;_J8@=(JN{_#e3w~|W0?`K z5H~*ZghVPW`K}odD5yqGtWYa z9j-{>-}EDk^qshYSi1+ddcg=HWWcl8=@s`KZg*ZTjANV!k@ct;5BBCuTfR*4=SZu2 z!(d>1<@&=5F5INGoXobH3+aL9g6@~IT%=`!pAT5r^SUDhJa2d-jXB#38Eykpy)3_a zc=fJlMi$*siG2P-arLyMKE7Wa%O%~Y1Wy#4H8${pW7guGXJyHcO&pHDz1;L^l${V9 z`Hm!*kZOsE6=e%HIyfHNtg!BFe0ilYzSK~WtCbN;0=qQ5vy0W$deZj!Cw;*iv&X&A zvIX+Bi!$+i$b^P}%yIb37Sb2rBzT~}5Z7-9l4A12r4Jf+Mv<) zT+MoI8VF@GmUvjdC#S8FM`$C&w-B8Lci3euUc;bR^Aw%fbT{z(F} z5bC9Z$XsEC;}j|C>qjbuGtU;N-v@hd0#&|$WP-E~mMA_{RzIWu%mS9ZS)F;xYojtCL zF^b0GCu_sM{?WD{gO3b4|6Am}yq;F+u?85S+}n8ln}{8DRe>CimgcwNuDp?&#Ey@I zIKY5?B|NM}oc$v;PI(5fUPn4mp8YZ!Iv6@NXK?208W6KM?JuTb7Y}pTO_A?^onAL} z;yTv^F>Z1MI2SqpLHG6BsT1udru=wnBND;i9ssKbSokSu^}>J0pU%#_Mo%0_mt=J3 zqMN(V;r2&$Q?)mz*TwJ;fkvb`3I?5Bv)wsHH4^ZFqlk*{liQP0^cY}kpV$nS9 z^1v#OFzxgSrZYa?QhLQos%|uqM+>!{>l(haK0KCFaZG6a_9@^x%YawbYt72`N5e_M0g@!Utg7&axFQ;TE7(lRQyu&;d}))27*b zy;lqoVP-z(1|2J3*Ng{Ky}DH=_Xb629qzamr^Bt+F8{jL;Gm1Stsd;W2kUGvn7G~= zw`kFvH*#(OYTt5uhAJPHSLSeZDZ=ax_+ya^Q=A1Jqx|8=siJ_|L9SRP|=I&P>>@RF= zp9>D9Z_^lFmP0pd_oKi91j>?1Z${lSkAv6qUp0=fAX(_IE$%zSa7namTs)4cbB6?V z%gyXCMHfY_FJByGy@JS(H85J$X8%usQ~qs$p(lpRqUE{7K4=}^ zNk%zT<+(}kEPoY#jNXSpdSHYTLvP=6dfd!0BFA4T&vFX#SkYK@byR48z+dze0*L(L z)QFMCcz?42cca6>?=__=oqVmoSshpP)l{qulA{8ez}p2&l>S`3A!;GB9ZxDi{F|$J z6urNmIbrv>fG`kuKLw3AH}j)~z_bE!m`-P>0-(GrALTP6FaRf6?;em5Q{D%3yMku; z;J3TKE4%Wr>+Fbu0V#2nDOQ7NCS4_(Ha|An+3@$~Q4aV3{VZ`v9&Wo`KV_@Bv$or> zIMUF@)ewTzrgw~WcBIcha3b(MmC#;`az#O$p}CpBut}5x*a^bN6&>0B^I8y6ZAOr{ z)5{h$6&TgzY5`>)_SgmH=`gI%Hi%iZ0zW5epy*f_f}J z^iHc<{~n5h{)a~Lfxizr*QDu>tV@%6e>5G&E$g!;7Igv{xPG-Yi*A8c)!fDmy91Fv zrH?k6l?c64HpjYWNVK<)Y^P^UT@)n7+hjEbTy+u6{8!|U4+w2s@I3Sq6U#NyB-Ity zPsOj&Y;1E-`y~wI6C;-f?WZH?2TR&T#Rg^`PaN}gESe+-SEbxGcGAg(p>byPp3}`h zo@Su7=LFQ|SI5xgj2NLTaiC#UCA#(K3Uh0eFS}2mTugqI+cH+?p*UU3M#n*i zaI@E1oCUP<azp&80Y#tz8I}SK;)^JBzrBbmd@MZR1B$O{b=|o@s z;Ug$z4FWEX%kLUMlXERcN^+HeEMCs9;g)q@&Z*Yy9PKcag8hCF*ht)E$QoUbMrf_H zGh9&2qZfR9IYXfbBzjXW&sZEpaZ>YZ^vNP>6(77Cre9(_*IG3;-L^s0uRbPrmU`Qi zKSZ@PB1Cz8c4DL3LpTFCKO-kxG4-37mHLZN&(W9=F+ZQO<6C^8Xkb=(|G~Y%FccWG zvHVoG6KCy8SlHWEy-MqG+;#cZ>4X=}`&4Pm1z1cM8KZF^{~wYA;dY(er=KCQ&3h~a zD9K$3zrC=Nl+^8SKBS9R&FkPko3EiF6lmusMX~@V$<_yW)iq$>bXttW{+TQcQouOx z(Klu$#Rqke=cZ8+)hnc$LH>TXZl_0R5Wr0nRa~4jOe7P{PAskAQ+q{GKS3T*;53&) z;Cw@4EwvDX%3R5Rc*Z~KaL=HEa`u^c8+*Ps&lhSOwbQ)(^O$F^FO;3PhDaT_ifMb2 zvYm?u(-}hI%n#>Cn>&grXxyWfjb? z@dcLM+3aMsBXH9KF~<-*#ekYn=PGMOhLP6$AtF-le4XO$ozy(7!?{{dB+NFjN-Wz4 z!klq;Nn?oBLIOCN7huM`=Sv5c6Npk*a+Fs^!C+P)M@DBSWW0DoHOa6Ogd1C=FTgiz z`YBi>BC*$1PjGG<&AAFv!-bzoz7jYf+G+L$s|;2_HE5t1HjjJ zK}5F!S}SbWJxEu*o)K{QU^sx_E-Ddny;TcDX zm{gdMwb8X@F*KIea+P;4dZl?8MneDMJMztwdw(X=jpdT+Mk@o6CW=vV{YRjJrB!0$ z*2_j{NG^*=s+~qyiO#Qxg!$haZP6iLaG8H_9C(6G`~{D}=9a<3-4@7-DR^I3r|3H0 zc$5OVvKzz14GSdyyO%YYycV2DMu*Ik5a6UVeI{=>_JT_$x#Bb{f=0^! zunKLSoU+?y^dL_CwD;7=3J)-5iJEi!Cr5W`q0a}!{Dw#wqMHa3NnrA)?&sNRVAp>n zD>YD{b#slVjAOW#=bftAa@Ds*qhrqZ+C|)LdPM6==2~R%*(5F!aquh8tqThB9%q2A z7!6go033d8Q^CDmmMzO#(d@jgfUGyiq}Lmmnzxy27u-r+i1gTlm9Os@5nuLKkxFQ6 znK#RKh<^3r$ay8fiUH-q>wSy%B=b1e{)nfjFg7n)$@Ma@l^%_to;KsUlxcH7fPH|^ znb&CV@3)$>UQ96q2R31+nn>#K-;f1&!vRsTaT&W&>E^h7-_9C(@3XUppR`QPSLP)9 z*}B)6M2vLKq3-4)7=N>)e{J`h4KTP~W84^32C)W|@+77Re(|-VDWf_BS~@Srn8TXq zeh2Uuy)XEe2uVxfR}IX}?gDk;8&~0zE{K3Qn(uZXv$n^MljA_mx_$Vbkc!4gtK@61 zzUetIsui$Jl=l^xLpw^;ZCrY1wCStl0P)8H(`j33F|J2RtbI~zoCrTY<-6!b4^w47fu z-_ueNZ4^ma32WG-mh%|6Wa*~~045&)e!)hKJm8Ot!gNd~xi|5qZ|$JH!#rTw?^>*D zFblTeU8(y%_VeIH@V6qfV=AaBEG%eAn6Jj=*ec^nP|%zt+u$?%rgwZaZbKByR4@BB z-`L+f1kxObFAIh#{hYwhoz`t1_SaHKlEk(K-}5j8BG6$mUc zYKn}Npqhy9V3Iz6<+o2Iv@c?Q>`PtLjMWvo8iqFJ-CvEZJ_Ib^_-oZXyC{|!>CIvU z-Z%8yH%+Q_2#PP85!^)pzV3>+e3eO z{`_X4wK}%Gh0#jV!d#buQ8VnsyEvkke1mV$f4jRRcvaJhR59lo9DVD5(w5oK~1??&7v`tS?ueMx)M!fdx8u`nl^oaYr)7wp%Bc=Q@Ved zMJ47mI%P$e68~`A7eB_?iJyaM$OlugTeUd`3T-NI-5;^m^jJN(RSUb2SazC3*xe@| zqa4$ZBLX47vUKUk4Hf_bJIWQLSUV+L_wPUKND^V|^S`ofzA5p2E$Gh8*!fyhoU~mQXFfm_jcFj2*ZCaq-8)3Xk6z(Fo?cF&y!eIWxeCYn+&ijUM#1k~uYR)QL~T zJoBvy7e=wFdjq%lZJKd;z)(+&n40d@vZV^EP(eK#_B%j>w0DMy&V+SVus_DLXAp(P z`6gMQ0}vdW$`&eIurF*M^+PvZ(=ZuD8CV)(gGIGzc7n$Qi{Ry`SW_HGC+_!;&uDvF zx@W)AM+y~CSl2C7h5c@tmRLddTN=rO<)jLYELRnf=LxFF)i~cw9qtHJQ>l;p`3J_? z%-+A&8!S0j=LEIYF<*ij+WX1xrP(e=-D8a7>#uR{&hNHQtQRi=XV-LQM(ddG z$w+*m;!=Wr)bX^4E$anFr9WghP456coEcDXlD^J<*Y%+Mt#xbzphuCnP}f#Eio>y) zR)_BDm4u_KmH3$`A(4$PE36^4%tR5quru{7&@w9OTzcCs`_2!$a7_78&Q8__@(pCn zNH0L!H7F^9;4Jkf9T0AvP>Ubou`azGS|g!gZ19N^ejH(eacrWv#6}jp*SyHX0u+^v z?Jrz&Gcpw?=R-;u6lAGfl$I_c2*l>mr{y6X<)&0>vQsg{T z?cl9O#pX9eqx7tfk-2v#`%tnf!yBe3AWQg~E5$Q_owiGjUS=t=tlUdglEV$PX7l3rlK6@IgY}{>);)}S(y`-AQJhWZTwaUdW(oe-WiBhaQ)eh zM@X!R0B&85=*vsUo5#Dy(tvL5lCW6PV}2d85!iNYAO1-F?lXo$o?c8nLw^_=w-C76 zW81>DzQ$Qy^37nUp<@Qk7qv+zfZRe2fG9|6KrMS$Ih9*-+8KdwG?`i@@N0?$lq;{T zim4=kGJzYY3TA{s+X62=`W1?Ay1aO}t0W3%P_aANq2ayRZICob=OfzJ{atve{AhwX zS-7+hL3BEGzXa-RUx5U#_-aykvN7IU`kzeVyreY}*kYL)#4LVGaWZm%zo|z6eBhQI zez*CO7b1{AGMO~v;Zn`)6b$26gEXj7R$}lLVKi|?Y!|22{$1=U>^CPqdtfpeGMdDF zoqH;ftU?I&1^Do{Z`qK6y%Rg;Ew$#n>JzXCWOg7S}*ux|8LdT8ReK_*_+a;Lnf zLVR}P=y2E*5@~ZN7E(=k#~)|==|Jcl_3AZJ`cucx_G~rpjColAZ5AiPufhM)gT%Bu zSVipBVhINtwrUSR$tWG=rh*JItMF zLKM@V&|sR6$L9~}r3E-x7~9;N)&DQ04Dv5B)CE&|yjqv2`<|^;Rq$<$`0E%7 z^qi^Y7W&<8m@cq9pVjke=n-Ko-hHHtjYv(DS9K(=Kf`j4Z@8{1)*3w@J@NMG(SHW8 z`SPDkWIxSMCG!l$lily=Wk|q)qvNp;fFrg6^yb&!4_TcbZdPd<=CJpcfRwLtQ)Le> z$J(A|1&&(Ci%OE6DLAPXxMO`0{~s{29#fxFe4(D}Zd=J)?+)JTj3!g0uFZ)Iz#k%2 zuoN_MjC`A<`0N0mz0s@Qu;dejtTHVK-Z4xHOD({`(89zU4Ls*$PM_5(8XQB;gr}0mRO)b@=MX-F0HvDc-w_i#K6SfHZb5A2&b% z<@u8|4nad{8U^!gh#ThO~BWmpmsn8qxS?xZej?R-fN9^06X7qyh{(D(n6~VEgEP zzrnQBDON`bl~@7Hh7XRhm8+KY#sS5>rjnBQ+YOBKSfqcntKBGWahMB=L9ulUp!f*glNnurA-J095$T zw$1QMct|t;XL__3&M#pI06hBO;^<(o(hP4_@5vcP@3Kb*4mKC`%0gn^gGdiT(JPJh zHsH2>yjo_Aj!2;yK)9b(SmMxsOCWJ~E`?e}8ILGNG#BbJjY0M&uWK!_kMwb`a+vAW zka*Jx9QvP2Lpbwg`SR8MzOPFR18aupRGaWr0t>;*Z#}UJDTPVEjL`ejQq4X3pv7&g z^V=Vz|Dej=d%9_+v=5TJU(CgVfl;kLzJm({oDwd?Pw&KD0k7d_z}In1A8=9`V3Gmz z-hh*C*~$NMTV))iXyB`2VF7gky`zk2rUpyWtDy&Ga_N9bjtlrfvD5#ZoA^zp_)6a* z9Eg|4wq_QAk5x&uwdR3w8M$fsmfnMj|LIU@B0+Pu3(}xi!+%|Rw?5VpDKBZMkmx;h zhSC^0hBPbltN*O-6+WQCb{9wWMpA)Oj59|W34q;V`@3U-0|#GG{6bQi3Fb=E^t6%N zku3|t_*Q_v)tylN!9`n9MLc7ky8ZX%#^>ki`8O!WY%azH>JsGh7#}HZK8@&x$;237 z@pKgxX_Gs}NArv*Q7Lf3VMmGs@@lH3`P#Bbrd04aof%Byh{9wN7R7j;dIg&6an!MS zj`$Id_z1W=S=aDyx=0xaRoS2CC-95$D9+C3C9OkVuV7T_`xmG0R#JJK5S+N%O*z_M z3~XSTo7{dej>g4cApRbxJs=jszWu@lro4E#TQW0*e={+V-|>>sb92H@tcTF3IoVvY z5SXGks+dr!S%#?uEzU&q-|TdO<(3yhGb{Dn-ej2}&BRcRp8vw<#LC+&U+Z1=DSwP2 zjp{d#mf34CzaaVF8KA^sN6zWtxy z0;uNX$~GszQNENA_Yed4S)XCOLvoZVIRNi8~l z$rJ0Wilyd!{M47dz+iCrj$I}!1DE~z9_9qFBNs`=t^>9TUm50Pl@%@J+aFVSrcSJZ z%m%=0(cZs*=+$iw8*?`W0F_`s%Z4vo?tzHJ72k8ilu8tVZa3)Fp0fV~5g`OqbYwNS z$gHUCJ<@xmCG)kE)rbpQ$5uJyhiJf;QSw2?EX6#)oHC2^^KT-Z=CjtxaE#Swm%q_AtKw*I&{mBYZOVZLzLyF|(U$T+j$x&eu zp=B1dgVt*4`blo|`VPPE;pfwE$07^$eO7Qnp&-H4a5dQBaoO=+6;?uQr@b|*zIN&% z{Jw<*clc3dpm~+77FuMK(kw~8ya(uYDEhk}VJge%HAZU9`dxkZX65>octbNQ%-|%u zRXa<|3dZw#)DpMLfTiGr!nUNDfgg8ikCxe@D#v;r`#-I6eg0*deKhLH+i=7O{b{<& z#XDLKg;ziDo@*v?Jt!AkfUyPVL7!ZT1=$w8{9KQ1L^|GBp6Tpj*-6HSkO>9g8D7iZ z^voRFWNN^SQ(Z4ZiCSMSdT6ArK9M?PP%N&p1&k&J-5ez+WN_SjH(kLi+&F}{pp;P2 z^N|~0*>+|Uj1#%KGq$KM(}k3J%QiM+Ez*_Rjz64)w`QDtBgj~m91!v^A#1ODc<8x7 zTdy1w?pu=7%rhSeUu|eh4uW)KThN`+TIoO8JubpjoIusDKxmt7v$6dPB71>RM9^V zcB_U>L=N{o9b^5V%&z{sN{S{Tg3y!bc?21U_HGY0?PY89H)iO!yM-VR*E+{ae|=W6 zLX0+Za^8U+5%2j%qcGAVECjM6+42?&fiLI~^q))!I~sn|UB3I8>@cbFRz1UX`VFIE zJO}TSNBi#YVwQ|!pQ2qol-T)xw;cqvSFsLuC1$KN-{J7erISi_Z`}m3bB?X5yQ98c z!C>=NX7jovDcSef-q+1EVb8N!>T9uC=2{UbU3MKOfVz%>-tq4ek2O0Rc-2RgJCzAW zb!|q9i~9EVN7{5qS~h`<$3go^V86?ntc)EQS8oO+><>l4D z-oe6a&d+nr1GTvFnRGvAd~HO?+R)tM$&K-mT(h#d1eN%wd@@egaNOP0*IBg48qis* zhT+PR`3iVz#JRPlz16cTV>Y6M1oUfa86Kj)uLNc{0FN(ZLov~+NMEF1!M$Y;^B?mV zM1@p#4rdP62pbt6I}x2DzNx_-Dua@Fhzt9f|8C@FNs_)&Lx;!-8 zcyvseEkKN|l$&8>#UkQOoP2MF>D?Q6>P=`aOdM%+^mZyyx*E`3i=R zkQOm;QxQkXNeDUFuQM`(Mimgfq3^dWHc9BclrD-7w7YJJ3o&rNx%TUZA09@&?lAfZ zeRW{0UI#7iez*Ir=fidE4Li6khjXldy2-5uR<;O;YJ)Jm_#xvfVHdS{V>o9JXwe~o zq&~QXz_9ddc$72okr3*;%dml^YV(=1`8ob|JaCJ;@bIXe{un`J$kc;b1J48S?|dm- zLdJXt{S{`B0=A`GuWa@(-O^*~-Eyfu1bz26x7EcaGk_C6-8(=ZKf&ymP+DTj!ive5 zsNV-Y_;-zx4?kqJd}i5__!$2xUejGeJlAEHhqCw0nG@*rt32OOz<*KZBTqyRit}A z;*@*Z*BxKPiU7jB$9|BrT5an>)2Df}Q^1qmDP_jmY zaehH8RH`Gzp+fAiMP@;=i_JbnP1Z*%Cy>{A0nER{E}?_TU0h#6I|rVHo)dnt##gGs z*I1t6O_A=@ zttzeJ4`Zm0;nxcqT(6MV#ct|2lyYi9NHqU8`}e=n`VzAo@iYXTkcz>xRgAvBz88qG zyZ5ZLzLc{O%l-6zXjX4r1L4aAZVc=X4zRE#>bx{JeuNcs@wqFNMO@Zl852Le>rR?! zs1Pm!gcHajy#6=d1yn>(Xl`rfv~FfoG{dsm+{KRkSe6jgJOg+(Ks0l2!3T(f9IL z_N!F6PzFVl5EUs8dPPSfEaHezqKM){C2&<^U!I(Q$q?qx;iowWJD!^* zJJQ$x_Wpi-+i1O{UI2Iyh{FADbv69{h65aMwPGn_+mBB2*y-&Y316yS-)LPI+bNk< z^7rMe`e`Oo$R$5jWxh#CfB$c|9df}Fg{vt=e}`)QALm3D2MXodM~>;H5ed_xlKw?Is1e6sJ8=?i>(fqyB9cK;A8Q=-aioiO|8GEB5p*grQ; zC_hFxIiJkkejdrTe3-(Ua@XRRAtgl8GB^BF?Z*ehT@LPE5!MY||9?Z_EI-vf*xERl z?5uEhxpbuxcHRzFifB^<>-uL(NI%E^Tf;D-O`p4Of~(Kv(xNIRqH!j>MwzT5dU}2q z!FiMDxp*wrG&7iS#IsjJ0N=Z5g4tQUVeR(UT)&k5el~B4V6aw^NO_dO!PaX;V*S8^ zro(T(`oHTQt&;?b^*$TmYI(9;ItBWU3OY*+E_qu|hwR zzzG?)jDI`-aYFELjrO0;uPm@VfzHXcGkirRm&XvC|4v11x`qx`?DrVWL1a6l<*>k0 zc`Q<-M22Rz=Bg~|lyqgdSDBp%c6zFtyDFQ_cbr8Ee|-N$J1;*d-29Y59-oVFJ3zEH z!gOZaCpQ~%*lebVyca7JCk}#s)#T6Hu^Hkb>kI5NtTkhMe>X8F*FX2Im?C%pclp&J z|Mmfwio5?S5a5@jSTn(0QcX@tOHuY6Yofh53T`Wzl^^}Jnu~HRSSX5}FD~Sb?hllS z_I%LfPmt@S)jt;ketVaEDz`dUctUUpTxL5dBy6AD=5G`ksg|@U5kIWhzqJ<#O`6dJ z(X%zvy$zNyV-hxF`qrLbMX)@Fwonfk2O?zWWD;%P<$j<~u<}Az%CKl49G3pK8FL>8 z&ehvO97tGy+nz7mJj8h>VWK^biV!>LrEcxG7G#cfTy7vERRpyjE<>d(Z1-w6+@0$J{lmFi_-~5u} zF54HlaWFr>=k&^q z-=kvxWD_xy04Me_MctW4dH-#f;rT|I1CUDpJD_#ibTT<*4>m;)cBo2@P(0!%#s?`g zUJ*0i5%w!i6kQ(#MJbLCY3B^yqP}uKKP42NKWal~3Aio6T$Tc$sAyA#YcJ}UT$mj7 zm$la~ba8zZJn;=v_o48>ScEmrcZsL+tJ+f8z%uCfkP`h2AtLYPr~aRJ#;R99q@+-! zB(8MesRG6LpXXM9Si{;7Tsb}R8-~+!hEw`mZaJd-)@cl7Twi(50%hd!6jcf!d5Cv4 z#B*}b7G)ZF<3~Mf@B*l-4Ud(DomuWkFuFyDS6uvU1)8{)+XgoG3Kclit+H|~{-fH{ zeYWO1f}37g$Vd2hkwP&lFNn5MMS>+!^$h}L<~6zNC-~}fcP8mAVoxs%(I#49bH9gl zWwXDVH&mg2ImBgvL??HX*ZQyvN38dJ4=?DVnEb(vLzi+E<7>|^FRGQ9l{1ZAveFM&R@^-d^lxj}p$c8K4zC`lx2DG51 zs6PJPuBJ|9Ztf7#$Wz4NR6p zW)ZhD{lFae#6wSh1{a3;;9b#;S+*Nj@($MZ*;$bz|FBhO8n(On`_+=`wte*@8Q~iq zMm6`Pr+~e-)M~vwky4s>%l^Gby$`~B<^P<$(zu6P7YR2nW;jSJaW4R!k-m8ws;+hIH zIOip%28yE`VGpbOm{O2uA=Z;fg}y&t4%k{`8>S(#j>^ODDaPObCo1lV%afGX{=-0w zzo^uSp9sJGoa%~^Q{QUC+SL*0h)u0A8|@~_dw%NSSZMkoo6&Wa!8}3hExO`|)c(d7 z)Mq}WpLxKJ@31+!edCFC72@FBj(J?AH^dt<_raJ$vO>Zsi z;mfLjaR0-78E;EoOJKDN2_a_8-IJG7(*p(WeDf^&tAKgwMsx}h)PN56+K0b3g8*y_q5BB%@+Mo!vC^~aO= z%M(=lN`WT8yXq2%EA_x#Jo`?f*>Yqj@Y~gB zN4ekxhNlr6nz%xVU3Yz^zt#in>V%dB56m{G+Bq| za<-oP<5P;guei(;1OvjEbIQSXP0vSp@`>H=DGti6xyQ(CKQ;=Jm{)mh<-d8Jw0cP9 zLPp;}TLpcK5Ow;#JPw87jJmC_B;4`Xw^ocifXif=4K!V1fg50lSt)@~2p=0ZrwIXVa`1?^i9@W3QP{>IegJx%6- zXR?RcgI5bJ_Ep@Su%Kt34kzF!^t<@(=~0|nz<@z`q7Lmqr#_phvv<2`WVmXaC9^xq zxA{m~U_)AbSxAMoyx&A-{`ihyEUn*z;!@N{e+lf=(eFVZPfeQ4YitQBE7#uGL~^Fu zk9HCmB|cqk-HUePxPslBq2^Mw)pKV@>$okstTAYQZxYQ06^tb~X7Ni642+U!Y2xga zMraW5)H)*Um{s{eg%D;n-rmKfChd;o3T}4Qgv>|pKcH9SSSOTo`DWL@>o`<=T=lkZ?ACRcy0dTDrLRfX z)R?^}5?oM=v$NeR=Y2>+biOyS^62`4SOmjUAfM1Pu5|xo6haVfM231pi5c0X9rxs$ zFK59r)}clG!mL@mR736Cg{8;i@ulg3+=y*jqtXx12FqJ`7hr4k5>-x4YYx|V=i)Df z9+iCX9dtG2YG`M9Bg_lV?9&tK)0^`<{@!L!Vr83xW(@YYu7F?f37iTfuAC>CH_2RXqGfGRqIYuZPR-1gfDz zM?Ckm6A^7+QzSas*=o6aO~>LIb~>3@b*~mC^4)j}dZ?g|KI~XZ9WT z?=LX_Ik^>>F&yMTJSR&w2jmb9{`td39IR^!i3bmpz|Kcar?og26_Qx=SoDziz~C6b z)5}1a?d3TqkpodcoXbfTcw-LsR4MgwTipAQ1QU7A> ztB)#Aq<+M^MW!<t30idEF#s^G6@fgWX5v zY}%AB92)MelOkuJKowyecI<0PqA#I94v!WS0+hY3Q})k6M$&unl4I(GV0bDFGJfo- zJh5SZ(&K=&#mugDujex^H__|+#AZd3W^z8<*;43lDI-Yi<*;J=_Dddd7Jhh^%$39LKUE8p@%CfD;CB z?9#M(w<*xkbAA)$wK)89nk?@*LVO59jtldLY`J&39oYdlcPAjka^$O8&u;x5H|Z$A z57|%V6om7xS<-w-*csp|g-mN|)P&1Ca%pl$Iz9U_0AkgiKFlvUKf!+wJO+pf$pk6s z$K&d}M-dhWyHJVl=30sd&h_A1Au>{v!X!i92ikAAP1b7m%q zEzynD%;q}zFfq?;he{HtpAUriOJ;-~Fl49~Q>f82Ax@g5eJ{M{g$CGL|DikRMW(QL zE++Fm@6$UNf)&b$34-33-zxBKt@kHR6mKRcaRXxOaZzNKF|BpOJHzGXfG!!?$k_(E zbI~n#UaT9j!&;~$gPv`7bx*o71xa_^FF;yw3MQ1IR!SRN^fC!l| z9&qmg_MF_V#UW$@v?yd~nQqH?`eO?Rx&|5=OwitogAnW27+v~EayCb6m0PW_5}o?4 zgei-3Hj3o83YSuo%@?+SW+dt1cyaY9)bDN#-n@eI((hjMsdqtFxA$T~GDd2JbuBfi z;LXf@RiN+nUixh|fmMp0UfY7cI@W+fTa}_B7pM3z`#Iw=w#w&0HGZ#`(}o!9HzPa`ZdVh|-$z^^zmKq1XRtkO!P$OL@d7zN|7_R{B+P;mot5=qD2LEM8X4Wf0e^Z(Z^cKEy6V>*02amW%-D)w1MRw*iqHEfV-WoA}rR}j|B04$7! zXX(D;vHNxy#z7Qaw&J;%>?vho^{e;a(LII(7E9q=G7#!{@R&l^ZEWm0FtS3Bm~cZb zKi&j34GDvhofExJAZzKwX<5U9An_Fkp{ou16tH3ABI`qhY6q=dDY^iZz_ctiL3}^0 ztMG`}Y+>1E^g8occjKzqg6a3w8qzVmNaboJm{YNwEW9W#yHPlYA2lyK(wD@a#I8g`)i%I?k)8wyOqY9kL=^zj1N$GwVIWe&a*xs-z)eU z$2EbPb`?m0@75nWg_v}8{ZpN$v5vE+a<>Cqp>3eZZb~w6Re$GZ1u1Ib%R-U1MJK8= zJI!R4UzQ?J2>wP$AeG1Q+8t0D3uR3gy#p$owa33|R>qTOwRY(fU|Fbc`rX_=M6G^6XDwvNbyBL_a?7kyZ;;y7r1Gh=9fFdq2 zkwBB!&}fc-Y{_Ff(W&kF+OGr3?5AWe9X@11=aiI7nbp+HAsjuXn&}jU3;CQ+Focxi zeIFatN!qG3kwq1mEZ0G6y=3-j`ZgCf9izoQQO9FJ-2~I^;c;KaQos`Cw>B%S)$D?v zUQ1Kp)6AT)f>rvyqCm)eU@jRbkSL>Z{XxrcwjV<_M4%OKNE*>GPvuAcCbC+ks`eo+ zcF{ufC`|b$jTFiDTG-r1bmQ;16p4xElZr^#*&s|vshaGJHI0oSVrWw$fm4dw66~iM z$4(o4giCffNO1+Xv3*d@0ph5r6?J%~)hMbnz^C+v`DxJMStpa>*YD-dkgYw-FdSx~ zI`hk4ls&xGo`mvX;h@Zl4p?q@V;LDLkr^c4QJr5dZJK^446EM9AiO@LYGb5V__?;GBTI&!;Q|Wb!^hOuSEBwcREg|#CawTGX`Ve< z=T*$AVoFV^vU3_L#ztzeArjx3#fvAo6nM6^;9o{`{T~c1&%gcQx=NvqeZ5rcl%~Pz z^*g8264@oP?kqnpabIct*9^mQBjaaAEgy7IH`cC?3x}rN8y2nBrn><=LeU4J0U41j z76v4e4G*?v-ogVccuk+s_xBO&p9g;=K`|rX00$fo$K8tXkD1l z{g>JAaHWMc<;y+g+6UzIPCe6wPfD9utk~oI*>p{?BvGJ?yL<1$=GTV+m$2-88o(@$ zuZzVYi}~<*-qtqYZlSpz^H1#`SO>)nb5wM{aJZ@FSd~A{zYGX>nr@M`mC{Y) z%I=Lv!s@WLt~`2%FP1O_{BmG~oe2^w4qptyc4ZW!&vlV@GfkBlnhk9Mln*Xx0(6{6 zhE4ppDt?tH=&QgfZb0Ma_`(@EMVdJ7wX^rdovndqA&T%=wHY>4`pt43m3 z(ZrU0Kma+ecsvWq%gqH($Bj@6I!3p++_q9T{k{|_pk$@{h!Fl%n{6NI#XW{+@>ma{ zSXNO5ItI1-E3*QuwU53l@O(?m6S{>FCxU&c>2yo(-UVckQdD~P5{|bP5HvO`yQE5c z&-!u}ad3}bI8vWWN}X98)?>Cre5}d^ae%n7{q+$WFPKqchBBEy=Fh&)*Sk!mfPrBY z?3V+8pM3gQ%g=M1nI-emwxBAX!=G4lfH7-TJ+GNvRf6D|KeK;|7v})%h2I9~Mj%II zl}=3k{;flnfDOg_F9FE!`?L@<^dwFA%i?Ogjh0+P&q=puq-Kne?xch_-@YwjNwz~8 z557X*#m=RfjfVey{2Jp!eZ2QgtL)PuW;=R#94UonU#$M;F^$=;+g&zkcS6U5!!bhT zGRgf!ka3tVt&vzku){fB9(uo@^45>T0$uojh$FStX4Sk2hKB@^KKlR37^@*xDHpAL z*>O)23=fO)bG)OI;N>u7kSeU|xN(pG+s_>WNM(U+d#ttvf1(xKE07eXGj+M2m>7vU zij3zVb<6P)37h}GTS2~41}%HzEPt)e3kVAMRbdg zHOaUIj5>GJloNE;9|CpAujoYQ$hK(_(LRLTxa8k?ETD&x8M{SHh%abwhXka%WDo>q zd^0^obJoT;)-Wv43RSKt5@J)aem-vsh%GKR2n~LgW-=Z@b-wln{Kk4|JJ`tHO6T{6 zo@sCURz><={*gFqDKCfq(!!@pk<{U&XaIMc3lAra?LzV?FV+NgtpN2&U-)+y(f33? zzL^wQd8IPTD?(41p^8Ct+kouJ%ldwMJC?rI+VslsN+W(06gSi-Hnx_$%8hb1_N{Nc zom)VRdjZYs?o)dCTl*4#qPNaI3ceU|WuX3w=@wE3N=&_^_hOlBLXGQ@qNfHRW@$4D zx_Z~Lc%f{*gzcV!#-uN6bWc?O=;Gf>Imrg<;zH&VDNq^eFf=75Jq2M5qg`)yV?Ox1 zwSu6aFF-e+cMd7-$?)?M`}{;7r!#8;QdT6uZ+DY)Zl-`IK0nl z`VIRDAH>tvr+r9~dOY4_0Iog|j#4{Q-6@>vxwH3Y# z5-F?U&vCp4Tq~M|J+Y_c;#|%kibBgX2+puKufGWQ*D}s929rn|xmj=uH{f;Y!=#{n8hdb(WVUr!Fd22A z9`n5lCI{oJ-i(A#^i!0g{*n1&%!+}ufvGc0iHMbD-ty}8U$6H@PY6ESeM*`3Es@^w zR;k$R(6_O9@5w>|3EQcg;mh-j3@yLjXCKmf<00t%LZXpibz)7u*-@113QW~?6xrN2 zqQ!{XzPk7=hnvi^4#OJ)iDcUKR4EIutCbYzcV+3vL{Zz3F@iIle- z5~u^GLvx|m7>hHGMb7qr4TU$5oP&{10*ZVHY*U6=Vv5d^w>14m9ciQ4E&=TwwDa`h zQKw-eo)}YkEp@;oBHFlo4#|URU+`|Ep(eMUdUQPPTcU7o)9SP80Q(n~OCLSq0xQ}V zaMzUxV(-?rlNWW$LrY7JtR}f_=;=}6)mU5VV5|0-;c=U}@wcIILFlVT zs%2GQmJm$_;$qEDW^#MRt((C!Q?8c`63q|D6Bevl79ODhyp`lSl%N^VAImWM&JP*f z-PAE1XWdL2tKl*$3q2o<)24~*$n+pM)1-fTx2}{_*7E3`^F5z^pn;BVvw`{nb^>K0 z$}v(;$ZMW)6yobCOvEs^pr+qlq;RL-sEZ}^*+fNqt5#ID*$ORug{`JBg``QbxPpdX z>TYi7`E7jMl$v{4$WHTZwPj1WdDze$xRl;30R-&d;?0^4;TK1jGc)8rWREk|yil3- zjbSLYBe(TTWBsU7*exhS0&Lb1$42Z;YO)DhwbG8;a*cC1V0 z)I8I3jNw{4S^e|`s*neHxVU6!DeFmIE=|1Y-As*#MW_lM-!(JnpqZTWwdzC2>+IeQ z{7MNUakaDWpULjNnF{EzKi&>WKiDoNS#VufsiiN1=Dtd>&18+hnzv--1Rc#h3hP~* zdW(9eAx})-1EpO*4qUyxvteL8z!!KFj&LD=v7QZt7b-F3Z%~PCxgH0ywma=Ox-tx4 zy7b952q2pexY{W~F5J^Nsz@$fq^AP0VyqOW^wGa`@3FCUNw<&MV z(C*qD>KP8UJ`4uX2BHlu-TpGIj;M|cqpuWne`kyG z0APy0+5bmy$F4$O3YjJJC}V=UBAMKa`2;@C7)b~z3$@r=0frYH(3ND^&3S$|vs*sA zPlOP&MMPOX z55BUZ4bR%}N>tnqpSPO0D-J(rFYeTbUxiT_nI+7H7L!X)Z36_Lxt@4o&xEF z6r6LC)vr<>H2oOnl*L}(G!03?AO7PGm9n2X-^VaK8t^&LB*SAc?$EkFB4#=ACEC!X8CR-Lt4d^>d5^j0E@z6eL!U7QJ>Jc^ePjO zO|iYLVf#W>JY%i7q7@CsAg5Aj0wQSkppSpsK-uCWoVLeZ9<_po{*(UIP+y?^<@GXk zwq>0cWYa@JbZFNxW9xu>wDckDe41(KB4*uV4!6b-c-akd$z%yAQTS_ivxTVGLAfH7Fyv2;Cy{;7t8U2f$ex|X0-`1T)l8+6J z8;e9qDC>8IMTpq;v-S#xlZ;)pp7|fVz$Fb&#_NY|KvO>!!wb-}CxLKroyK&%#lRuo zIbUln;?#quCvO+9%U55DZI-jh-oXs0JuKWf*UwcaQ`t6>X36g!P&ELqo0%^)1Dn8d z*JlRsa0JB$FRzxCq6~>*olCR7^BhjX-H(9IxU!Z7K6ppH&#{ZM zh_B0bqbD<>vlh#IH12vDR5iTBKLj@QC~JAve-aMLS4$MtXi`-yd}wO&!bIDkXt_|T zb%;4hLPMBSyt#e#>I~VLhp%^*tH0+vVOCQ+;t5D+?3EFqEmF$Ke~~`n5s8z4$l{E6kMbCh6+F!}h$$h&l>?Wd&zy*R!FH5y0REPrxNP2fV7e6KZM!uT ze)4}uy~xP!4m#4vD$|Xj+*RLF)+kq>wDxCh#lJEVRsB7lV6$VXlofP2LhN8KmNN`a z;3+r+oVl<03$g^DEbr!jr!}>mVmiM#W;V;)Sbe%bM5;mWg>w==@MKi(qvuUIiwqbw zyIuqFF*A-}yl5>hU5fO1Jn$#~z`>Z%N3v5CRTPCz^dV5d5&FqIYh!BLt|9#*zQOVf z2F5ka0k)c2eH8)rCI+O^toBp#^`WIy>IdF`zUD=WpTFk8s7ijFSB4KsNgSKASsgc1 z&gFDzG`HrFrojRgFLtyEztvH`c=r+G#r;kZfEEVV(ehKXvA_rIiGK!2kCZ79`h|eI zF#wBNx|YnQ)RgbBn1Nwd(cU|!>#4(t0_SFWXcT-)5sY`LKLNQ0(J%I_=fcg(+_L9`gb2>dYPL-{ z;}xXGHZwCzrqL8k3fQ16hfhub_UY~MLOR0_`&kFmg|-nx4*7gAS39yofP&2>TtJtL zfY|bg+^fuks8{_{IY$;CP!lGvG)wh@#qeX?3iKu5Le}~xWHMfPu5D_((DjWwDKq8yG9mk(nZmtUhQPJGUUbh z<7sdV;cWqs((x90WegyzN)JXK`Oofrmg&;OUcB@M>2LtDzLTorThe04Vq%q*^)P1# zFv3i8I3VlbrhX5>jvd}FTN}2&>|mWTOZ-94g0U5L*L7qz2JHkLmtRLhIf*PJiO?L^ z=NJ16gd^MM)y@-blgsP$s;C~YhT)p)XMppmaTed0V*-(x--KtDkVz-$OcVf3+I>TY z6uV)-%{Yuwt__9-zaKvEpWXk-#Lh;9H1^Pk^dyfq%fc1z={n4_KJN7?k?mC81Yz z+l7$}V<+@N#-%G^aSPLiv_Z!fzlj}fQb_nC`_0$Mb{D|-G2piPW8oKtR&$qyav~6WhT_Q~@woNu>~lpT;%Bnjdzh{ZRtUVI zXbz;^pe-ctM#QFMR*DD(y4BJuBp`;~JDJ8R@BFB_)P4?=hh2O}U!;~7IB6%r{-F~%z){nst;^;>U)zU$`l^)036{$b*4c;e! zBW2a+dg%g8iuZ?1iEQoLf(&!jq$?LOJy%gPjN30jfG%`+@HNG`S$)5+h)J<2TMeF! z9L+r1gmc-M;Cs*+Xp-Xj(*DYj@}uQ{+>cddmz`Q;52eBoc5h&@KKj*>=>i^Ip!I&U zf!WD^&x%2@kdsj5(TQ9t8JM8wTPw!A=o+}QJ&*clHUC9BqnR)}`;`9fWgYB2Mp@|0 zVPd24Vi)MjAtkRvWEP_ z!Vs1%&r}hMu~tc)Cid%qSUT;V5EU(j0}9L24{aZsknKuub9`JXww%`(!@{cUK9j|Ig66;(yQp-P?o(@Lj#rxa&@18JGd@2?Oi@ zXVmUt?|<-rN9;yJa~D+wxg~b8Ptd|YU0-M1oR*jQ`jLGa(jaj9jvEMeQDB27h+n5~`6vLjlc}Hbv zdmMP(W7OC1pc|(rNa`J~M;bFG-JUTrPeAv5&uOfvt==^wqj;H*BjM|e+RHk|HPzvN zQt?q850rt^rWmSn%H(iX=*2mc_v)i|6EjJgGXOz_O&Dk;c;l27-Wv=_KB@q~>F0t1 zKPpYxB1!wcLIl-~IOc{?amI8o=@H4|o;}JmaEpORdKY$2t5QvZx`dbE>{UFVGO|wE z@<{5S&`Ru}N3s89;NuCXecP#Wq2FvA8anZEy6|P|AQ>|2_A_xH*aD`+$c^(kjwPqc z)hhfClLdeROH`Tc!5a<<0LO?yCqK6K#h_@<{J(CF`hg3wbE2?1qb4TA#z=1N~28@3H~_ zd!RmrSjZsl7fK{z#~!_{OOztV1#$ztSISk0KKr@Mh-i96O9@?B%jt`*A3wPg*sZ_T z4k2=$P$VJ?=zZ$_Kr2PWYw6%VJ3^FrPBu~BSbLU7OO`Lq7~pb`hD=V;(}kMG9_U>( zmM)TeEcOr^=!AvtU!#;ZZ)zG1Q`XRUw+o(w>EjaiUS~4wBlXJ3_vB3xS_I(1O;chq z2xT>-kv zfblt!w!KIrs!!PcvB@tzjL|L+1MJ5fBOD_#w`k(=$S%=mvBUP`9cny3E!1i()U1G; zOp={97q1BL%*A`SXZACnO|DXo2hoh(5S$?a#2aPkd>!emm4N#%Vp?jgN(D9eb5P2n z1QqH4w;2c7%aFS}GJ|C}YnqIQTTK5W?T1CQ40JUKN=#pPzkEihsW4vH1R}!4AL%GY z?|59=x|6~z+6^86?wunO`_W?0h|^?R;HNm@4#(@es%eNgMg51FuPl?t>}~s~SPi-< zy6DXH&zpTmn9_I*Teip2;}<_?<~CSM9lfo!7*yMgwGgc8N`(kk8E(H9-uz`}YuQ7K zWMjXXy5?J=z0I~7kK662OeM^=(wZABe7*8mq)Vn-UrlO)inTJpDE+rCf!f(HronrZ zB1pRsCH(ai9g09#lZsy~<-9mCYfdzbaIUPn80_AM@;3SyPo0Ln=CreWZD1l5kgcnM zD&v5Sl0Yv!FTSC`uQ0(1p9oEg8>`}X95538wm#JJ2p7>E>}Eg#bqj`de_p!fSWQq^ngcYp=%}XGls#DwQOoEfW`-%^775?};;~yNZVp(#QqINh zs&^Iu6 z92*t2J^Tx~ho@?UA_#rqw1eiICa03IV!bxxol}L0Wv)g!ncZ1Pk;LcUv5_W*#?L(k zSuVI07uJ6>Eguoz6DNR6^S^V1*5Bvfc-t9epd@6V@hbnaI$?uKFu8}JP4xiFN&MNN zAXy&~U1#$(dfING@Z~B5I$cEhIWzeEn^dCYyHV^HQix|2F?SCALq=fNS13cyO~4jd$;G zqpdImk2HwMJ#of*{?d$PTxWIn7F4fmn2o@>f0_?Sn|(8Ri#HK({tyBr4fcd36+mD` zVGZI5Y)UQKy)e9Kmdv&5#3==P_svhu`y(FQj=b~YH5F^7K9h_A-|FwCU$_u7TQK|} zy$HjlDmozFS(9~UYO7X+?@dgz-2YNwV;Kv-zx_0;5HXUZAsL``1ocnT{oGdHZJ+mn zXp37R7bgGYaObSloqk5oPZ~}Ero(C89PS5)WjJ7WAEj2thn92mjMc6HkdD+cWhPRz zsG`4$sP2*AL`M-}oY*tW($OvU&iZW#fj z@)G?^P4_+EF0O*=G1`JF0?$)Jjhyst6(6Gme5nKRRbfYqt$>=T5N|wd-$q~F!s(@arKhu+=aS%0|#Fd`{#+Xi42q(Gyxc7xPZc> zC;+p4Jg|@aQf8j*JZ=AE1eHa3uX^*qT`Jq{9fD_m>xb*CkI+|9*NOVk^@H~H`Z~>} zOP(>4;!hcD4r0G?kl;-dzzV1e_AhUeUB<5wz%_wr6B-V zXW4~@>t{MTtGahQ|K4h1IA@OnUkr)(G=?Gr>&FK91Eg6iqie+{ZA;3MuCLs2l*m&Y zgqK5l52md~!sXBHxr3W7pkJT8bY>B&j5g20MnvsG-<++L8Yt!Xoc5^} z+`OekMoDdBmC3HIK z?lB>)#zg0KO%7)`7tN(NN5Ws*pk!=`c6j`EkH31Al|Rb)N?SX)C9R`6wOeSqwu>T~ ztK+Jvz!kacHDb6U6W?r>D1T3z+FlG^!^d>b!oFOcdtg)59AH8k%+TT<#w#OLXojmj z=r7svmmcfWidh z5AH>9TL05&;l}`L$vMg0Cqndy;_pdsxtnjIM|!$Vp)U3tq66<~=#{bTZauTAll&^?I4v*E=jtY_>zQnb;nChVzylkE9X+E`il9nEVB=(CMO z@J64-R<&iufRuV#RMv^xyZK5ze#I?e8~$L`k#P0qx=r_ zFMcRXH5~L-)XT5}zhwSi z#xPgx^DkG0>DL(oF>opd#dF`E-ehkpE=Tlnpt3m=g`f#QN0u#nBa?EwL$p&-voL^F zMNI^-+BGy-ynfm(+ib2@=EI}8V8=Dl;P72C=+I(2nZ>d3Y*1CPXEsjBOf5xTzxqeOz3=xMpyCupdXP|$i52?3 zI5Bp6^WNq139S9jlwJ!f6&VCklg|wfkl1bvBKx1U`nr?{6M1668Rx$>_;y2?DYO33 zmhW@`@aScr0S&+EWV6`t_<>ba-T&KY#l3g*v$okCi6|0sSC&mXue-Gg+fz<|`?d|Q z$(6eX)gAX2o&dM24KC1Q^7`RumWhm`(d9d}UcGE%>6ugPdjh-xJpcjlKlt$2>L&Gm zyqw5JNmn=rvUM8`$b0xUd)$mWez_}yXv^iFHBHW- z7HWL>$cO!=0Cb^^59}{`0Ru%w_dCw5%Zr4;{y7ZCyHk!w;&O{YV$LEw>hDK>P!jfv z&YDbe;& z1jt1rLzyQeRMXi=VSR&|^`Zd0^beaT!LGT>KMKG!T;9XxK@5`x`~;)4er=g;`BwER z9ZBPT^hp1F(;#%Na6ECAE8UN4dfMi8 z(l8s{*5A1k%zZ1*QX#g|LduU{Tc?VYHB9r@aKkhmYL4yoNejGts*uoUH@o{A+aP0f)lS(Ircv25_f@B> zeu|BA0!mD~bge7k=!q2oAVlKu{AH2UEpyBt9#MTUj}ar_)e2Eg1FJHawQ&p+kfV7N zULvdvCTu;S4!l0WViHx~(o1bls}kiP4$X&OJ)#R{*ZB5#9R>M)@tv@6$gt!Ao=3El z0O*OHvzl19sn#(rfypZde~vF3=y+v>Xa%hDf+L0>Mw|HiQ+% zKTM?3aqt?n{uk&RjMmuuPX>}Q`88QY?CySp8XSyTt}RYX_tRc2t9DJbk|?T&m_3dy zj+#^P!VN?mVFCsBMh(g@p^Pq)Hinn$=YeqSVKF~h$uB)WfqTIR=*xcey4^a97hHLg z+^>i6F3!3(D4+tVR`_`6w|7JIW$*fa!eZ8H()MOjkj$)4e=M;@i@|wu)X{_K~^DQ-wzVDvpl_!X>&$k#=r4Hh6VXIY@?aBNv0jd`?J0Qm&!JNoc77_ z0JC^la$wVjIvWziz@yNxSB<)dQ4UHV*QgrUeQ8?ZvdFxiVC&?D7DhEXd}3&$1_@Lt zdaGNHHf?e@o?jl$GV#c?F|0f@GO29gdhC5b15|HUsMTNzH#=MwdV~`$Ad;gv8OR6x z$L!-&?BWWE#E8{+I3|C(kz61`rrluF#=G@~C8`Q)PdmaYAU7~NwbSiZQ8BPAU1mf`L*Wb86bXmr z&1y9P`(T!MJa*J>doV*Euw(b^q#(u~xVZ^g?>?M7Nvt(Ng8KLJKt) zRVB^CoH)=|Vd`@rS{})a^5^@2yJ;6C%E_&s&k;x#VTcu4K|>HO`iCwMpW1b+|LHJz z^v6pD&iW2Vd8f^fy(BjdyhiKH)e7Sa8U%HXzzqA0fT{*KJ^8ofR**uhPI?~ZS&%-9 zp<*3GX}>}_B%8mTiulF=gymdB?#^u*vqyRj2d34ZHZ5#h6Dt}@{(tH&3b39B7|(lc zoDq?M;dZ&f-9Ob!mcL!;Aq;NdE2-Ohjbg3vZy;q-Xo24ewbxmFcd13wX`|6~`~3N! zk?j$Uym>{_8Iji29pA5`;D^FUUIMwIr2hRAi;qreDMI&axIu>fK}O10c3BL+<3C+| z_wCQ!v%VT-J}-ZB#MHJLYzkc-@s5j-d~YW`@!V_tcls9h3m$ZE(DLt*9l`>=$n(a8-( zyvP0F_sqW)P~zr!8eJl`3x?F6u~XFddxQo=t>z6EoBaK3FbiLHT>JG}`ZVNh3Qt6y zwrpLd#4(m`Fhmn!-h3hMg_b9K%-||?@b|D@%Y7K|b&Nxs8!~9qfwXGb_=kpmrNwNqlCBBXxhb)T6k#27J^n?6}+( z9=i)Qk6WhWT%oK1QaMT!5!}JRD5_zr5Iho)gx9t2-1ZuuFVii2_t_-$4axD!?CQsD zFS4H&gR$lux*`%wDf+pAa02IR=F|tV_dh8|hKmdEXb40+YhT(SLp^ewedarVKC#E1 z0N=BjkB~lsREBv?D{Gb*&O(YW8yla1YShn9okGgj*TP_@3aNx6EH8GG^sn3fOae5zL_%Elze&J0nlS{BDN4dQ>E7b<_d`%@)V(pSnBT7 zkg~jx%Jl+wjEy(4dbGRQv&MQ?zjIAUrM2zEW!zg+C&>eticN50#1#}4*9gevGpJY} zQa5oYjdInS9I*{C1{h^34guo1nF<*n^>VUwPDpgj6535fIrXjr5v7#W&r3F57D-O4 zndlNk(&Asz`O~z6Xyl{c1R)t)j&7NB4KxH7g;8O0qR+Mq?n?^*|9j=KUN(OF*cz5{ znQGY3GO+1}zCBaJ=W@q^0;dkT&iFtt0^m#}v&7pLMdn`Z0Fz#Iw}2J&cBtxm$eD^^ zpSuKlK_J_7p*;2juAvsiaIcf+$f{T!FEdYBD2JMSc-4~*3dIGtku=jrWAkKcP|nr{K-L^xn%aIi&hf6)cpr{0XmGc3nu!yWts9S< zrsn^WX9Ms$Xw}cm{0WKft{+%<^M)+dSmY{aZW{-|^EB>l$XmfU*^tsQ>n76yBVfrzP}S+7Er8y2OwshNuvT9uX+Gi zhfSP6oB<`k8$5Y(h-nFz4BKudrSJ~G87RM!v)iTz0f#)6NOl{ zD6HBf!rs{G+5ivoFQ6GgVNf#yEPTqArpl`!>D;?0EN@22-Jxw43 zqpyHOIIwmo{c?aoBSdIhCDpD(3-B2Ys$-kplB@ZUXUTSVMIk*A#qrXQgBabzWS|tp z^v$6!EiTzZ@2_H3zGGo-#cPa*D9h={(%E-Jj zPyTStpDjRDUfJ=qv(9l>5{-t*5}+CyoX%xS(hxF)8b8{AuOEV|-6PZ?WFr0II=9 z$Y1`55lkPzrPKGX*ZRlHRtNeB?P3>2mNiIgrTJbVcE_N4R_juY1|h8Jr3tA62>7}& z*NTT%UP>UN8rL1irw|P@k9)8isBVR}L{EOs;BT;FNELzbhTyz5*Xr;AJ+hM6z(fL2 zqL;YM>EH`YC`QVK(C(+gB&92^&U=&FszH zslmGBlEj)->e$v7+U`q0@dD{o8(UjjqMEF?)u`jH{u1<)#1sqCm4`&<{Adf?o7n4p zX)x?uXP8Wgtif|_R#nr6kstvr1|6Y^C*A4SYrZusZ-8o*%>G&^V^d*SO8Htjy};oY zpECF5_C?7+ip1Ggm01ag*OK2;T3_>VDg`t|addq^t~(w}kYY`Y1Lq zoL&YMxRS_AOfP3LXnL{`;T<5*F-5L?EpRptOG`d$O97;c8|_)9 znO2#RtbNf^A4%C^$L|216b_V+Xia#)n%>o)v4Qhl-K&Nt8m?CUU;-x$Ndm|5W=v~- zerr$9`P&2aYDd#V53<|A5JUp1ldYl56Cy~P#=US?nk0NXj%#Xi{Fjg{*`(NcF|*L6 zb70O#*YTZW;706XfBHS71=Q_8%&ub+{HkU4gD;)KF@IOQGfaso z{}%9_1?5y1XTK9-rjV8RFvQs4`08xlBJu@2q}bf0aWe|L)^4`;$Jm5eGPA^HW;?w& zfld3JtNhIH-=wu(8*6KqFvL-NBvV$t_5em#;Y8mtwg<3Fojk$pI~x2tzYx?}MVv1c=^KsDGvO}1@PIN>u{k)X%x4|1SkfeK0F?n_Y4utObfYuMN$-~Hyj z!sE&8VnI_M#=HMIcT^_^e2?v@CKt<;ZclBj-;8liOfC^MOzNhW_-Ft}U&Wp8RqA1B zUvLUi2ihw;2sUgLNX?$>-bCXCf*)B3+5uI_*^ynKF9C26-?qnY8x)zS^JWPIwRZb3j0n(u_TsLA2NG%V}e0Z_Z?AS9rb6 z#SJJaLXQ2pme!bVSokv{@K*sh8;Ib)6a_(1YWFYwRIJsjYi$eYzhf6OwWmQfj)4d) zk2vbZb}Ci^l(zuUODW`>(;@lz_y}Kv!){R#(BRuVNWX3xb`#{{Y6}Xh{fB)|Ms)p~ z+5L3e94ip9?2nklFboDNEI=WI21ew9g>!%A-0E)SHDL5(m8{9%@hX6?)Iu!{hsC1eKdlfwt-I9Gc3YGCPCZ6jA72(26sJKMZh!ijUk!TYX!q&ZM=$T zYu}LwvEZ+#4sfiBOR1FxefV#_p{7o^^MR$N=)M&9`KzxMaR(M2n7XiqDzwV74AdX2 zieug#UFrJp6W*H5>-=R5i=}d^w0QaZl3ahfH_C>wRu<4t6g6u&V|BP_&-Q~tlDAX) zkA@v#UaL*CFNQ5~VydrtezzRxt3nyPHW4-F%?T?FU$BDv`YYdz>h{7Krcq8oe%X1= zH}HWiaj`eu9v9eZnv!(~-`l4&CT=6e{2H;hbzCV-8RXCwUPWlYVOu7d){Y0TM$ccv zkBx?qhW<)O$9U%(tT<-`DB1ePztj`~A_nQc=+2Y|K>@~hR`&)~$LO~AmcU7qUkZku zfw}QVd(gV0a2^5FNNi8SyC-SW1!yeF`(nhRu8Z@^LS)Z6Y^Ff#t67fMaue_)jz1eX z;SK(8=l9g?Zx0x=dPFQpIDn16VzRA7tJH1&^3AorZnd5Qvwu-gcM5~sg6a-Xc%U1!il4Ax z5%_%Eq|;>tmu$Z-cHW>9&p&C>X_;lXn@){ytnfKI-BT-EZuGf06*+X6icI}ioFx5u zO=Q25sR~P(@}!aFYAvq%gw|(jV}S+99knwcm)>*5J$eg(!Ix@Aj2ScLDt@ z(Q4T;<+2cAx>-rg7xZlJPU-OEKDWlhE?r}yv>VV&rnMZ-1kAr z-8oaFT((^`ZFvl=xs_iS4}OYGOJ>~2H`K7nSGX29_PDs*ak`400Lh=` z{}v@|BV{SfU&Ev67oNUyGSK7zzRDbd=N{iMQG~d$2iLu1x9BI`e}muvQHH!fSzvur z-%MA}i*~f}BCF*xO+m0**?RZBxQ#Ff=!+_6rhoxq@5I2m*~ha^xumUUw2 zt_U&gf5BwAkZqerW9e5VwK8qnw`t%zwZIMqpfLGOTKm;s>%wL&lOtn653CNFhI!!l zI-9|te-s>m;HVfnt&C0t>doe<1sB3Hr`Lo_1QBD64P;Ui8>!YB6T*xepdva`IwE~> zV~|_hi|Fse;Z|C_P^n)$fX`}~Kr7s@{u#)E4!v}})j9DC{r@@x2G^hbi+@&gR?u=l zo{Lo6N{aI$oMn+bUBdD;>=Xhb0zUU|`b{Q~y>Jo6ZV-fY^aHyNU~gV<{%8TWhRGBt z3VOZ!C@U}~edO|S=Gf&O&y^7EzVw`iU6Zp$x~UEUD|ePc4l&d+NUj^8n~W13oGLwHJxDkhSmyHt`+%DWbiE4h}`?H z^5XLxf4#>eP0H2Cbgd_v!w!GNJIvb($LqCA#ljsuRPXi@%tYMp49?mf{&47koCTHFW`CUKhJ1I!p<<*jjV5h=50hAEf zIkjiSKaNFDWsO?<5um>5lUET^wHn;Y$Xwu%&kYfZ3~X&Ct$?(BMVl|_K{@q17f5wR zrAloXh+vk&IwADi|E>@R8GJ_JF)jP*_*A^~1%M(i(Uvpe*r@nVK18Hf44c;;7K0+B zILtJPqe1J~fW8DD6^NDkUuJ)q=6O-u^EAZ2fajc$=fJ+8_6ZltdptbV23P+MENb=) z^bc3R+*bjB!3O4P!U9_v)%@M(>=cHR5pf=51gKyB;Qut2o+DWuN)y;9EPvn>;5hRx z5DfUwbyhkAm;n2E85avVFx%ebTvU3kkt@0K z9X}FTV-@gPxd?+ygZGGbpB7kfS%+#zuf3CHKU-XsZp1CHyN|G=N z4BVpi_qu)fiu-D!&J{}5%sK=CpqTsY5$-QDRNaBx_Sm(u`V}J%ik_KVn34cBVh1=U zHpCI#6kpT)(S)&EyZu+@M`pCDkR8bl@|(RYTujnn-cN(jd?xNDL3!JLf`L5k5RY&)mOFE(SJ9{Cb@N*eJMZ-i)_J%b8e;mma>h<(&6i#@$b-MMaJ#rZf+6^xdtxDFv17y}B8?f?_ zh)ScV?PT34rzYD)h;MZft@lfqG{8ftC~BB~s@M#u?Q$!Y1z~z2yube2b&h?+`Cah< z4`NQQ&5r-1K)&-k!z-7b*ox0rhBU$er^Hg5G1H153t8uybR1E6P|i>B=V0Io9M7w> zO^W~-xBn??Q~=FZ>xWrP?!LLg1Ek5J`vg|kR+o+|ZJ%MN_?^}+Tz;e7qrg>~7TSy* zxmaNY5ngc(TcgSc)=V zX&qaDA6Z@ah)FDycm$jI2WTf9cnwr%?>ue+R)FOU3eMDH0`ie}R&a9xeYx)MF-TGk z;}r5Om1FUlZv+tNd0b<=Szr#uygmy{T-exMKhk*f#eFL$Q1Y+ERDJax=Q!|`&OmR0 z_&WZT7`v>EttEM%p)WCPML2a_-pU&{BVq~9X`B$?C! ze_Q|Z9Mbcpct{i3?^fWwbgl3TPk_C)`Z4Www{bagO+u27n)@tu-cIX2l*h<7q z!NtRuHc|SG@T3!rJbI%hTU}H>!sVF?Olh}9>#$myPtM2E_Pe1s9>lxo#~6K{s9KN+ zrdG0C`+NCiv$LOra#+tXKs=v+eJ$~Fp7#4r(~ZtVgKBO{{#F_tEr|7EA`f$^CS91^ zB$hu(@B@_@UY&|jT3|Hy#fl0AGY~wHV+hb-tx!}UdsDxC-PUE~_sa=-ZaKPXAoRDq zcT>r9MqErBQ0?A5cKR1{-m=VPsLY|zW2MDLRoWoE>*r+ps z?YdoX@DGb*|A9K9xA{lB)Y<-0XUY2sT$1RHxX}ZCX^XBlWp#o-4?uRf0JG$LCbTMr z0EM-s60n(@@dRoFiQdODI+-Tm&CEREXd2hrdx!>BdBl17>`Q`4?sI%JgBXHlET=g(^t=*R4`W1Cby6FncqfUJ*vb#tPxQnK<| zKRC3-(E;Yz^8ZrhhOYv?LE4v9Youz~Os5x-;hQ$n=M5EE7JC(g1C#2>MyNs1gc7`3zVn>Dus#Z>0}(}7lS8c*L#S!A9}v4 zT{4@33XdJPH^iGZyX~RxxZTp)ts@5ByPB0xmaaPQ7LTBNBw!34hP0#(@)oCD>yl?m zW^1(hMs#<(dp0kmEIfSGyKsf6Eq&tt$92$E+5q>{1F@GK~nCRU~)BX zSGYLgM+1`BJN$M2kUwLu*r?g0lT4-TF!cDsWJa3-4u=Tl29%ZVg9}&ZqMwutR&R{qTg=vR)8}7vc2#$| zGPjXEY{O`6_?BL)x& z0WYeX;%yDF<4n?K)OVC;BV<-T>0xs&CoMGlP@a)w-9hpd*L~w_rat1?#W+_y1e!Lv z$;j1alzJC`4yUPQw1AOdA2Nwecwf2(!YNs8eVs1~BeTeC5D(efCvLGUKQ-ICUtY2< z@DgSo&)~aPcYXPXcl<@gI-jw8PC!%4HVG!w=Hg*NFj(VHRHW|0wch?0KucgE_;26~ z28P1-SI-qc+VB3rfs#J3R>AJsofCrS%YkJ*n2m5dk{FDhrSg(~Rvmp>jcTl@#H)Ma zX21F4mUSL|E~|M(FFUbN1Y(kvC>u&i1&ihE3Y^E)GJgh9#SR6wO{AY&JOPDwbfjiC~GVylepk&+WmEQZ#;EyJbAP zpBK=cG^aivrh1-sCpzddrg=P0bgj?}WTer4K;WpAAce??Rd3uPb$%VvtG3BwoXEU+ zwqks4@uzH+ZuI7Zqm-w;e!Zj}*^FX)l_sg#7c6KghRbee`jC%Oc7g=(EVxoNE}(qc zYNJ-?2J;Wrjq-_CAyxg<{fvw_`nsq;E_-9N<{b)qw6+Ip+B_G3Dn|Mzm-Iwe6^(s_ z`Y+`&ckU0E32ku7)pM<-$f3rSBsNUoRqUmDD>NmgpB+#&=Y06s<-92~;`K^p$gaRG zXLrd);nmcjnhB{w*yi{kE%*m*&hED8{V`jF=uG7c|TFQ+6r$}6E+&zKd zkEBdnND|}}HdB?=J3D&vp0%H5f>sjSSv3`%>4~MXUcUy1ghMVj1cH{IN}2o{E%ZIJ z2igRA0er+5-E3ik0R{3R3>-Z0a(V}&Ku7$E6G~Z)z*#2n`MYo9W=+8Nxi2~XLJLbM zOdrOP(ci#KK)&ikgL2)Yp+QO)v-G-t+zT(5HI&YuVv?;ju6rnzBCZgOzk!dsZl7)V zMM^BKvNGu7f{A!YQt6%PJTRc!tTgOo74Tg zYj_!GK$uaW?@=!y)m#4J9d&zuue<+R3U{y_Ir_R zYbxr6)`@*ZU%boul7eWOsmj#5E}cK&by>1irGvm;g2OGiHl>!g-s#c|Qc5OCZNcGFx@+%)wBiT`GZLydJi31*kHF zEF%``yb%X#?F^79TN$Zr*80Li`}r(PQro2$4a>nBDV9;U3kmVf~=s&-9*7 zrye6ud6e`Kfrv(z$T7xNlkG`SkXzi#_V43shf1nuGIynDaO#O{t3g`3!Cmp3E)={U zSypB7i79!|W({c^&%JG6)42qo6%>2(i7iDy6iBmK%4~**#YTr*Go@&o>g%hJzfhEm z?Zt`d2)w&|jb)blt@I6hwbjy(o!I4nHYlwjxn#TZja(hy-Kirhp7J$~p_e{tD-++% zFAtZQ>#wUeRoTA005UJ@AnnOB1G!F5mxn05MCf;EdcU2x_b# ztQvAi$VrPtOzn4i*rid=O)S?XUG`qm+?|lw&dObteu5Y*2Q-(JT~GfEdccP%8|0}cKCB4{+o<&i8NbD#q|Ktq6Rlz7 z>7P1vTWgZ8%DxxLoj)oJfs#OB_MMHsX^rvHC6SFPpEa)vc{4MlYQB&y=J=jBiZIIx zyO3K$Z*{_I*VZHLP(xKxH3yf<^h!x1Yb-QtaE&!iSicuciTtY8H_W#(V#Hsr@nUd8 z)-3h;ookka%tkI~J1XO(kyiE(BdQ#n2AHC~=--6Px>D>;LHxm^i#znprO>fY?Lkbl zUr8t?m$nosI`$Q(OhGj{I~$eKr47yxbIx$a5UTRg0j>khB3d4J@^`WP)6UW{7ghQ7 zznG_%@V-qH6*WIz)jkeflluPAFH-8(`$HV=g>(_m>qN|Lru%6D7m|m*ovl|aG|t(& zBk;`Yi!!PcQxpo(WWmYWZ~PbL1nWluw83>!RH*S((pZT?Zh{WJP%}v4n5TOxKtF$b zXB6A(A{3!xDFib+v^SCpUhoRsnth+;L3d^1z)AlA&uF?}RA<{2-Z7bWWa+p#JPPEV z)%W84oN=9AGP)`E?UPjSxHaz`u_}z{F2Wkd2AmQMs~IzCk7KaPqvx&b{}u^#2rK6BF7jX4H$U~ zOV8#8R!YKKX3@=_Lw*ySf#5JzhK9%1>Sy;tmQEn0G`}chk5B7`G~guT0gEr30@bh))n{ot z{?Uo=`Jwq9x8<&R4qCSg z!Yo}Egd42jTbIt8yg2#g6sCM~&VZ7e ztnsFmk$!PIeSW7)iicfsky)NKj92TtC!&>co>w2+=3T0^)Igua(~pK-Oww-7^J?+e z%#UX#AA~EIMDN2ZBHkhnV)uXB+!BZ3h+PM_g_I|(&1yA)(?+~m1pn>N4=B%hxQ$Os z>pP(~5IE54BTJqVlV(8)1^4O==>YJC@s4*`v15LBX8VET)~A(+3TnRi^zwUujQscL zq?ZqI3=jHxcqm)QL@=T+quaTt?q`+RM|;2+%AMI$9%?9v=KSm%Vuf1asnJE1a?R~? ziT9ga5o_5fo-g<1m;08?;`LhE;tY3| z5)c@tKg))eILN{df2(hi+LK>lv>)8hXIx$0t5%;*G%kH3uVF*E+-!o z`J@sS&@b!7xfHT=w_K8`jVE>byN6zs9D2&{Pw=WWWv{`FkHj1-b6~h07KSqruX!`9 zjny(An-EK-+NmFS8$slB zUFGmcG#S`zcRZ!dF#733?Xz%RRs-2;UarHSsZY+|wLQKZIiE%V5oKxRa^5)G{i~4T z1Ht-FfL8(A_6^Ai=qmw{+{Hk3Z<2F0BgFiA=M4WG8=}78W!Vx-GQrCiGXIn6q2AH? z9q)2ZPA-CuYd%ewKeEvg@h3s-c&oc?W??7oAI>mm27#5NC8y#an9+xUNv(0|KAReC zZCkr_7rD-e+f&+wi#bcKCMTXJg701%AN8*5+E`GRQ@S(bK;KUVM!M`JC6-g3VXxEF ziUxsgUB7D-3=?c#4$k=$n~=DiI?7_tQT)R*d3QMd}s zDPmvfld)f!%u>Hs`%(NdKf}0G!KtuQKG%otA}`X3npHzU)vWcUbGQK)+~WmAR@b>f zzJ}*br^{NHM@Ap#%dwy9)OSHU_d6F5B(t_A2~9g#TP!QOPLJvtL1W>pucOIADw-Y ztsWVXw%#Z!R$x~wz=@JZVy@Pq`)sCqs9_@Lv!s&7&D^(Zf@iFW*7rNsMqG;kR550a z_b6wwP_U3X#HX(G<*S;-BB&yMP%9s;uA|mC9Jhav_TxIbFpfzr$kRw<9ptAgA0ZcR z?_UZWcy>oU7Rm#Oia*ty)f&910Af1QFB(R4{mhMK|3_C}9S~K}eoaZUlyr+ohaj;_ zmnf}tN+T^S-65cYfOLZ>pp-N$-MO@|bhC7Ke;42P_Z9OuGjr$8&VA-Q=Q)Rn=6;g0 zY()!+-g2CC<8qhj8wL)Xg*Es2zz&LpU!++j^m7icFF>)Oz^S5o5B_oApavD2B^nQw}Cl_0?}3@%8;9W+Dc+QI}{!8DR#qhi|9jDobV} zub%*2z{+RnkXtgyntRSq29r@#!3`A)LpjXD3$+fE*1m+-r2rG!$%_kv9FE z0O?vYNLB1ITaymb2LEMhO&0}7klc3%%w^;ttd_kI(K}I)>mLcp3~J*gFeEZas<=Xp+SCZ~q_c$nfQ`4pm<6Z~~m47WnlzZ>B zbx;msp-^)491RPLH@=g2aQU!gI3R$w4b3|1Wm(aEnCD%l$?BNaZ?YoS_*07PCwOyF z?@Aq6RrP5GmvyBpqf`_o3ArJtH(F}z&}imASpcHKB$rNMsg@uKRWei*&FDGRW7(-g z&>EuR>NH%SAuSH_W}4o#8qT7(E|)$ia6*EM>e8V6JjRY#8+H~&J^h*QLgj~MGQMJa z#anlgj3qC0j9`!MD0z2c#;e%@;pYw1YEFhTIBT5w2H$-bfSW%-Z7Hvv?HB!>56MRH zJp`mbu;zcLTwfzh`d>*p?jGXe)OT-a95I+LvYuZuGvjY!9?TbW}b4}{p z2(fCZ%)2A(m@#kIdrkQs|I*wd?S6cz-D7<9nr*Ka>tRFU}s zKo?db_q(I{SZsaBxFgZ8CQ8NcR{ZSN`rRkG67BOs$07Cg zq;0-+idCLf(Vz7nrgo-9&t-gCuX?UkHiKzqG-FvCgKQ>N#?&gbKg>OqxVi=-l-@e+ zhzJ%ND~{iHN!*ASoDPr>*I%~xYD^k6`p2oiyhv`h;!L09s@jR@#Aj++T{zGPy~9>q z@EH-cNYQAp65kmsI+V|apc=iB0=WU_AUR(+n;AVu_F+3-5#e;t^hmvbxaAWz zE}e1 zB{iOOZkM4R+1t)WkC8mJ{JfZE3+RSC0Nxq1!cX~x@mNC}6-s~YcEZeOo1#=K?0H9j zI!Y+{0U`6eadn-p!s}lt)_Z_65?bQs^|(?~HiLNiAd)D^a4`{2t3rbm8I{wR@LmBk z@;=IPx7qYwuB=Ya0UfKMfe|jeCQ;`D4Ei4Vkqxos?KRq+*KYdBA;#15p=B>wy+7|) z$9voOq#5Jd1v~?C&Uj>S`JfWf!pkeMiOEtS)Y4>*UrOlCo>l|GMpZLACb@yYMX=DC zVL-^&^|67(ZSv$L6o^lqeg`<}dp^DXDRL@Bi+ zPJw=Z>Rq}VWL-9$izw|%C5-#-s1eX5bojg3;bt3;oZ0hx^^u&zjp*ny{j6O%Kr!{y zKFqKVvnq2@&$vEwoHMM9t6G_N^}@(#u5wwH^4Q!RL?KA5pRa)^DKSzcBp3potue>5 z=OKFt>Gl0bw)|ddKor(5ik)nb6ylG(5dAi`n2jmeR6(S!Q*%N)QJKp|ycK!3>3(1* zR-H9j9wkyBawvKiX4h32wvg7xfb_~@$z(D#!Z@WzT`kmD2gx^@>U<})qiIdQ_K(5i zQ(#7h-5~19)%RUrn*RA$;V%uH9rj{kxE}d9%-}N4@@N5z-gs z@#}&CcRk_rAfnmM;|%F%U0#=`T>g`A=ZZL zT;AliHJcKTsy6f_8PB~GBmfA8KH{WdLVKoG$0EC*|1cPBOTibXD9(CD2&t6^=4L`0 zzE^@T^<;@yZB)5Al%=`ZAHCCRpn#uk$A^dJv>Q4pN|-U2s0;~WI%8G6iE3WbH(e;v z<2EN!l*u2Y>$qkg8si(#pUlUjar09EfLM@OMc1doA;&nvAC`ME_o(Fw%?e?dggR>= zPWa^`SV^Oc>Ywbnu`@!tHD1ELpK(nLH~rA5*?>xl?S7Zd4$-B#^bLvJ-ET^&AsL$V zxvyvKvo(`l45^yjhkh5G9N$|Bie5}(-wBeliyHcdrl{Q6Nr-&$JN5QC8x#mIlmGF~ zly`0+%jpZa{muHI-6cNr!>AGW$pS8i0HDZMOa7aEX(BRJR?p&eNbeT6Ne_R_EDSo} zlYe~Y{OleZ5=u7b{mf^xWX$tkMBI8ydR;>KYTmoTsCru2#)k;LIbNGW&XL;|{{x^m z$^8Kb3v%sGRr|N`lrZO^2`x~mEz~aiep5JXiF)xqH#V-cHT_)-={LHzeIiOm?fS&? z=&y#zTpbkR&Y*5d6%XylU34&EM~L#Ov^vF(r`(mqZn zktoJhEZr4dZs>8d!;_esLaphvf2k1*?Y2o7uhgwsI86a(X)vlW3SXe^Xz+=m2==;^ z^$C%BcSf*MEqc%A7oSxPakhf#qF*gw88ka~o9FII^X2QT)RAE}ZzkYsM77K&)4*8v zuE+FNsz3K8hN=k5#@oV5++Y+ENctS*LEmo)a;b(K)2+aOm3Dqh zp4;|#bkG6E#UL8Tp95!zG21RpW^9~afiX!dhB;e9_~o}IKz>EFOQ-(D1NB1nPgj+l z?>GW@0obN{3H74SREyC{_)%R(y{&OvjgFvSW1{#F`^Fx7vV*XXWG66D>ShY-p1Ej~ zuZBW-+8V{ZKiG8wRw^?|w!^zSh#Vok#s(cYnoZ z=IYs74rQhgSXUzFN*sBj69}{l4hQJnPyQTM@tnbE{GlpY> zQf7A+T{Rx{z4)a-e@6J|DxvG$Ih^FFFq;G6phez_)6M;^|HV?c`!2}F!&LN!)qOcw zPM5(ymNEpYQYicGnjotfT(Fz^oL`NYojlOSzv(+N#*A4e|yq4{&3q%8jYn$a57 zm7AMpvLQ#~D2IS#T2(k%mdiN*C-bdY^21uVFhau9U*wgp`K4`5a1}fHAd5b2QgZWi5z#Y6V8|v5XHm4+ zl@i*>!EVHr)rhLaF;fC^!q;Lb* zKNvHgw!>5(cWx9n37`kfozg{@RDDEQ7|7~bAPfo+sA{P>(uM=S7m+^wwxh0mF5S0o@5R8Xn{jU`kJ87N4TI2*cUQ0=D z;xXfMqgM}44g?fhpb4=5-B;yG7&}I}Q5m@lKtQ1Jg~=9v%){+hg(WGAN;sm9b#bto z4w__`SH)3seRAKA6F|5H14rb0jeTF-3Eg>mL^&W}oNp<(FI-oWP%+IIRE~_iv6kX_ zIeYW2C^uKW$S0p!*si4%L#ooI! z*Fj3rOuzdnisg=d(4NyW=GZg%>rs_NT2w zrCYKd;JI%}LK&@)@bVnE*K?Ci3@DpYT`O6=q0a)fo8+>UpAjaey=3{5tL~S3fA!bi zqK)6Ss|&>oI$ec7nQHBZxB}b5wo9#kuPYfCdOr+o2Y$jPW~1RXx47lgcAc5J96_|uCX1c;vZW{p< zHUzWW`FdxJr!&Z|Xw{m~8x5jd61J9^8Ekcl4Ecs`28^5?dVy$*(!`_p%ZtHr8Y4eVQ5f z_L2hxCxob`BNq*P>2cNvyhO~p^7%s-o)p&{ayN?Fx6hLMy+xCh@4+>=YDg)-x@Vj| z-yq|RP`4t9{zOMj>Om!=zN>;IE+F&N8?V7>_(Iyjp7p^D6I#caMod zPv`qZ%l6iO{i;=rg;VUg(Z9nj4LhB7t}B+4nHjKjA}HvzM?N;LoYyO6&$Yn8uEq~DKjkT zsVAQzPH#m>FuA5zXO@r|A}Ia1N(lmJI|BodA)no^JhEC_9pxN0MHn3CF+Hyu`jPyX zc9R}Ce>D;`_=YF-t$pkVyA;_e-4~;Z8a9$;=Fy;nAVxc>9SiQ2?KKQ|=+A%yU^5$E zhbQm^4FX<srg^G?AfMWaCv zbCqh7LfhAs^WB3Q zW(l&)53yzJp$?1`jPEi5l~aV>q4v}AR&3p&&dCnT4L|0fV(h2IDJnq|J?+$qk21Qg zcelo|L%JUX^%q+@PN=E66F?dE7J5&i>eZ7$f>1V5I!$!x2^0%1(*Z-uD+T+3^oPFw zXJ(1ua(JksbZbTR){pO8LIYnSIsZ5lLUY5F-Io)e0>V9e6u=gFFE?eTu&X#dP@oTD zlia5MWz;S^2S7PDZx`;~xapld^3>}D8Fpg15=xUwYy7eZoNg{sNQp+rx`| z$`brIa9jY}@_I=?4DI7b*wJ<)p)P>rzwi)^g`ihriLS`8;=F5B}jyJ&n@HR+djWflBsr%nlLcciI#88nXQAZcFKVHR`mHEElq@Nu5H#CwKzNRkn2PZ&0bociGKNb1QHS-;C}3%?o2%~`?jhAJdNU%zCC#_ zHvYCI)-no1L#cK39iwy$?R?dd9Y|^5-OHfgX1^r2(;0{=>nOAz%nR*qJ|&%Q+@OGc zok`#}BNGV=clFJLwBvqHRQ%Zrb#4J4vrEkv5P6$c*hg}QOKs8v0LJ~@L6~~Iax6_{s1GyMW++rxlbvP4mJh9~|$9mSS zUE&|{(#PyFPT(^Ritmj;rxAKe@e%P^D2Iv${3=l$g=B3$J+wCtt9612_!4M-*Nnx0>Q})ACt$Ig zcf}L_Pv9u4A5^*N^R?1K%NOkU2G`^o9}1##Nh|_sCqgL+e|MCk)WXU>6I@xodq`7G z?xkiFSq{-xd(DMCUBiqiTxd+&wXs#jH8HAlsnS)BgpTaMaLzFapyrNZ5%NOfNq*S^ zL53FXvPA%y^HTT~5!_}RS*O3Qsk@ead}9yT&vh(~dwHq0pi3uf-&Q?{JnFn`szq2DjQ3}RxiYrOuWr+UNi-2@W0k(0c4dlih^e$kRpa*S<(h#7G~%k9VL!J+cnx0zLy_N8GEU4*Tf zwh(6_-JO-Pd3guwvVLmfA=_h}GMni1cnWPg1;rOYmD$aSr*^#^ncb%%8#^Zu)W&=# z=d194u?FpWB(}C#srg{ddZhvcJKOHJt4Uc&^{bF*nlv|P56w_Z1#NHC80t{W;HJJv z{$?-->za~zCG$gEBmJ6%?SR!9V6E}uxEwEJn8GAL8pZt-0S>T;@e46JQ_vdC-(z1O zqxh6uZzQd1Cp{C}t#qQwWm{#OG}2*fXsSq6ovFQ}*RJ2`NDqhf>^0<^{r(QJlj-+QsSB{* zc~3>ECqsJ#stXVaeUZOOOHk|X*Wya&n59VW$1*Dk9$@gMam)@g_K_VG58-W2HC2I* zCl;H@rilrc=rd0UnNM`|QT!US#!3J&+($^>yn-WJwo&`8t~T=N5U+6`!z`@&t648z$v4#l)Mg3^0AW%-1WBS{}w21%BM=7>UF>fK^XMH1XrY@Ad| zhdaMJ&d6!kpF9;hg0w?KlhC~=r^iXQOcLf@lT~J2qjBGqhu~o^F~7xUOfF&e)Rl( ze;B{QX-=Jk=6ZN_=P0wVLxv`xvl9%{47SlUn;f`0l8x@X?G>C*n1t&ryxKbG`mMeB zL3hur$~$V0k0Kmm`dK7JeuA!7zaO*oYHO&-lo8VdCG=_+s=FOl*dbmk{9Y-<_EFs7 z4L=I>kJ$aQh`%&>8Z43KeUIytb{Pk4y@}2jjoT{=Kh{mJ-A=_lvbK57hdGt`@|WG5 zJ7wWJe-1lCw@_W5_ijQZ4e{fDAYoNqc^U$yp9PwmHC{neRo!kSy!*37;JIVpk6@)8 zRFX}HKJt2A!8^HWHs7+JS{r53wF#eF9HL_|vLZ}rm{glWZgBRor?~RJSl2t)^;B%}9??{-nENT`&cEyGQc(6-#U#d&xGRr}rpWJ;rx z=smq{234j38_2ld-(8ddpXhfu4B1D4p$=zhaim*1GQ^`)`TEZXrYS#vIvwUGu+r3Q zjvKo<;PFXKTcIa4p=?nm%+mp6ipx#e3GbXTnOv^$Ge%(!fbcn&zvaeL5!HUPYWR|b zz5B1<)ATx&qL=$!g5)j*T5YtDY}dzJSrMlUfii*VBRkQ*F>VO9F&CqG3>5#`+^R!5 z8Dvn~3`u$biiK|Hn|Us0cU31;H{cNXB)w9?GB+6~>^?ZX_^LdWt5Eec0@!ccll>_7 z2VZNt+nF8pYb0LJ|6C5s{c{nd5L;qi-6^6X>a6@m+roWsl^utkv}odp=_n?x>SMu- zf~CLlG-UG<$a{$~t`YI+x6)!@muau*gXF?I18zD#0utF%Uut0t?=(>a*gd=47+;RT zEqg6jp(PMF(!Xio3eRyIaiQwEiMRD;dOhd=ia9RK{E30~JB zQ0b+F&v!kznE-p`1JKL<`N*;r?&DU4YlVGf(vkv+YXnzGVK+yp1WXR=Wzbe12g1ud zJq5@R_Mf^=DnEDZ_*Ll@Mej*yAm-6T8L}!m?SS7}`-#*I8~bIWviB7%-tNkRTFiW^ zjdw+(Pc`o^z?1y__DwN{<{FkInt%}Gagk-0@48wFPo4n{z;i*+`|vMOf7Gnw4O$!U zWPo54c_BM%kX7`_sRM~5T3P||(ReXya@JX*1Y7!3G^TB~(2l`dJWQEt+Nc5vHkRZegSpI62n9x{0nINR1_eLs7~> zCEbVZJ*sb63Gcm*2{%9y=xaMGCUf?kH>wzOlYEWU>VC=$6ie8D+9?(6ciik7 zKOCU$s*{#@D7E{kkU_bP=;LSI2dYRd*Yyu7iq*%&vw#3qwL{|c!!y0LJ~dqbujzAL z*zru&Oi#+)8EIUJcU=eb?pjlyHDeuNZ_zdAB6m#WRlnzX?~BAZZ^w8kIs+gfPQB9o zd9`Hcf{|l-%mi%6YVgdEchZux|FinHuB%Rb;=E{7qtTHvSh~Ln=(4?WA}!!v0WBtV z!Kq{5K0%#F#7sz4%>cIBMlEKO(5_}q9Z#i{2q@z)4nn(e6p4TLJos-8;`JJsh2Q?E)l@=O0U}(oV)) znOf?l(;NlAT}Pkf6>XlC5(7!Tnhz7{AAhSCUX|;Q0b%V`ttHQReZJC4ZZY5-`ehv! z_ghm__2{9>`q(UGZ1jHvsJgwCD>7pY87EVGxhYr6oSCK|bV&L{8_Yp{f5$S=0}blA zsY$~)+89w(qopse^wRzaqvu(36K>^kT#Im(KWOi#DcMj|Zeg^_Y0L4@>&x&9I z2EeFO0QrMJCChcxsXex97Zdx38%R_>1Oxo{dlISyDPPhcKPzf~v?a(9Z1EJYMXP|W z{rBQ1P)zBU>8wJNmzs-sBr5qq)dZ9X(@a$2bpU`PK8n33#I8sHju;Wr%}Z2^EdXZY@)h=R%w`Cm>D zn#U?%BQ9K9Ovahzg0E- zbw7Q}*hU4n(e2JWOyCZ_YrsL$=Y$|vHHn&l6Z>9nJywlREwORcsjizac;BCEGB68g z7sz1@hiU}u^VMAB2cWc6DLI!q)9xwypJlUVw`*=E*2_~Fq$QQrgk_}G_=%;J7u5Tg zp<@@;;gKLo+$&rns0(<+^ub*2V-ug|O+G5f1xfk!h#l06E$+2%%uE~y^ zae?2PKw{#2yN#zj-VI6VOo)Oql%8cIKTPCS#^V+(Fs7`qSjgm6vUDXatb_~j-t5WaA~c$znGH(Pvm_NCq%(l<}w=cbAXj>b#60X|k{DaN^+DX2zN zC-9@cirY6kRb73N9LSR&2*Mp7?6v&Vg~ef;XO~@2;gfv55eU_DF6bR!ZD!^+_|Ve1 zXBw5n?Y=WNEj%VDJ5=!-mCgi2!)1X#sfH3;b-f^F}$KZ91G?{-+ymVwWp& zvS`#cmqVx!ru?ZS&_<0)Tw02`reMjdHgSvYZD-6QWz^}SykKJg^6I>2>_UaU3Stzh zUk8YJEbO(-4SsSUjzb9zsyX`-I06)Q<@}Mf%J)Q1y|+%HF!)sF+UmU_EH9Z!#-+BX zFM`TandjPP4J0AM;68bcFDfLC+)y@}70XqH2SE5o0>}?QR(n8>Cr=ia(=M~HC2d`- zb1&C_iSDZo4+T~_Gr!FJJ5`G$TeX-%6<}x-Q0Z`#H?lfjW)9XNY=oq*Wa5 zR~x`8%*Q$bH_gbHvC)p_b~hP#C|x?P_*#B5VU^r3XMu&rO$|l=hp`q(VJH#vnGImO z(~U?AF4kdkJRrs&Fw?u54M!cdn~oC_7>=6kl4bvk8E@4&kj=+z_JAPU1{?;TyaG*l zI=<#(C;vi^!++0&j(Yj990FpPGAZu)%M%qIlGk(naamHBLN(xam8iRKT5{4 zTO}0of+Gl;%LNE(FZmZoePWBSSb#jvix|7-F<2~%@lKxc`Dn?UwOgp#dS!4FsO+uY zdV~JL+c~U4!$-WNCMAc=+LxyzOEwH!N`JMVqF?m^`1C{T4J7B!#zuCDU6Dlm{qx2; zLj%VZv(V6@bKD-Tl5s*u`+hO6nu!WK8lMP0B305UrK-p*rpWxO`Yi@@S|6bG0_Acu zN0-n4BBgst)|AQ4nrlY0VQ9E@tqTtn0MI(vq0=4E7=qD)CO4Y&m05Z!I4{M4(wn;ZdpMLtjt8 z>`^mtR-e$&n}zxfjRbtiMopjoWWgx*Fa>lNIXamA>jB%>>}kd4@K%U$z#!J=5=DLj z*Jl8_dBdM}dam)iI3$*-FUf-Q-T&P;HVP8OwIXi_4jKmTL7Oiok=rIp`zqxOKmYfv zf5vFcFRACCwi+sC7vjDrFcS0-G7Rk@b+B8_AqNN_qk=EyWAHJa0`CY_iwjjw1SNR- zb(T9W5fZp|?7fS0*y)h9^NL)sohL&56mtEoe6Nk^gIFJWP`U*F%iE0UCp!O`P*eQF zRtX9;RYfxjmNotVU@{oQbJT~Ja_eE3e_LVuFZ(a9sW+okK#k>GWv_<($4`lg1P*VW zM5<$L>#M-~tSqbA7b0zx6Gfy9fl_dCJukAfv!2&ezm;N53p`?jZeoEg|Ii+OHjJ`- zSlKkLV?XTYccv!Pu}bZX1`&y&Z)t8eSpBD~=4P{f47ep>AEZ1Ehu&4o_ zYIK^vA?av#Ogb2XmyZN5r{^E07uUu@H7tY4j}1kED5zTp3ko!JGL`tU)pWRhk}(`| zeBp`Q4pan|Ig9(>Z`Uv)NX&pPFtafFXaEhaCvC);d-ThZ8{*(6lH6zPEZ4{PWFqCe+CcRzdySpAHL zqj)x}1Z{Ttg|1E$hi{>ZS@-TcYmc;a<^n79REl50O@&{Ie^DE=#Uj&WuRN%s+(&L` zP+U(sDPr>{gprDo>H{l!MlNVc@_Me27k&!s&fYhJ5L&X%t(P?Z4p8^|hW{%Lb%UYR z~>Y-~DOm6~{M>_ap6sxNU9urc_T=%?x9S_T6wwRDJ zv<>F#xf#vKtc?b!{}jp*dIF63pN6dr99FMt(MWl?DXKMmG<@RlWB#?(Wc;5Yu;yWt wqD|F$T2h%<0idD&Puu5jG2P>JLEpZ|;%$T=N?Z(8P=LSJ@~UzrGA6uvCUga*7a;;dC?dUgLJ1%>(xsO` z0)(cNBvL}>WuJTZ|D5;3{&4Tjm&~k@-xy}n1ZGBC3 z_5`|E;{^jDil6$*c?{L*VMuDHcH@N%$~!S)S7TARz-z`_?LA5Sx;5)Uea2t-M|bVb zu2?tltPdqI+{g1_*I7*_${-IFp$Fb~Qmc{-1z(i;Olh{GRrk*+`G4QX;=!4|{|!>!{{%_>{{p1{j2>I1I@`Yy|9^Men_OzP zmKl3e3co}4n>DDxX=FZcm{i|@{`%-9n7hC*!7+? zCj}>1?*1;M!{Z1(2*S=#hc};%6bbE{0qZ450Osn3xxjcvjI6^>*HF8^OCka{+%%z# zI(yq40GDv*P@g)KiqCo+$GI@Pz>iFT=Yn70mE(g!#GOp6xB`hyVpaxBavqj+u2BFh zZb7k|60>I)9XlyP^7)EKunU(yVZ-04?R)BnJ4+YTgH0{he*@1{R3GxMzPPW-pByY1 zqyVhfeiXziPj`j>bEvoL`E?RKYoZ+f%hg*)&?Ei1HLv?u2Sdd*KTVGn$JB`4rxM97 z-uxxm-NG%qE38z&Ph@Af9{TdHMl+9zub^D}#=|5#A%Jw#!F?bI;7x_xoChH9JwH9M z@riAI+#`GM_s6MEAFJMjs9qXxJTt!fQ%(do)H#0@Y-!dlOS|dw+ZdW!t>@spPVhdz z4|`Y;2i=V7Y?pc=8q7>AAZKdkMN+b)1wbh*G|B9jMe^k&1B?tQnIPRQGau8qWf{-E z)i8?K^2Ja?jr>gE%;3AyCsH&RN%H8^R=DX8_#RLG^`G<07Ub%AFQXxVmi|Q^==d=! zc>4?e;}Na9PNp4ZCSFh&jK{CO?VA`_?rz;%KNY0kf;`eM&bSl4*WTVOL}+MwSa%&c zKtb1K_Fi1qtW!2yOE`Sx_ooylPHJ4aTdutDVrIeXpa%tgHl|Y+tE_=OdqV?IS>@*% zbxrh?)losQpy-M;sdDgA)3DAo>`{241d~HI$Ib7SKTariV@cav-UR!lUoy>58+ixx zN6^TSXWg^VAR9LP*V^C3Ci5hQRo7Su+snJsQ!k~7Vh$g~p`@v_vyAH@ZFJzz3OPR=iY9$9t`(o({y?CwA@AaQ6&3ep`XPUg>4CMVUKq}e1XbtO=_8{by53ZeN zfgHUpeY2m5;n1njXenfibaTI&O=AA4*PChD7W zBhl4x;l>fI`@7h5S2q0A!C-c(gg7+!pjgJMEL~90yBcWo7Wy{o+X@-pU2|$VE>8NG z9kV}UQQ9XXsCp%C1yh!FSwVQ z3WH1AKT~_Jy=;BNaP!B>(;SMV7x{Jf@~b};dza-5no6$hmOL=HmT}Dv*l58P@dUsV z61z5jx962<+z&sx3;8urJ=m`K*GjVLOQz>B{MO_A6_kT1CE?c5%uGtneNCC`$*MOP zEhX)ig)xKHi zbj}u3g^%o-Pi5;ph+zD*VPt-)qiEguW~{5_uU+E-QA^^-dgXCP)dp^xytXqZH+wEQ z%ry(RDD*VFD9q-)PtMGh;U~JdIQ_L1rU#zX`_Y#2))7PMJmp_1$?ib-h`4^T z-*XDEU3KE8*Yl_E04E2%?tvbk7Re|@%{i^>4f=ZJFn~Au7w<-=VM{{|Y4m~BEs=<) zRC8Okj8g3FE7V(~vqDBET1dwIkY@^|P>Oa={-4jc+t%2>Yp`MBDmKCsZ@FK=10Alp zhAABKvM|VX?dY?BQ(oMvR*`kOP3xNe^<@v3CFv)N@GL}c%b}R#k4ziNOo+~)O~$wO z4>|bGO-aKubI#fUQRwv)rpv>|cFF@ls`=V^NN|@`cnGPCSbeQTcX80*kuST@o_mWi zqs!YtTja=6Y8I!w_aNkgD7;Chf?FWhBS_w&@hpAN+GCa9gvBP2?aPq#F@I&>Vsm1f zj>c-bU|pR^U5fLg%tm&*me(+1O{VxQyhoVCv(;q~i{!Lz9?Utcl~cZW>rV#_hUd0@ z*|MerD_%=8r9Fb24qVb_KG3Uuc(gg(OX)0jqNVS+{slDuF>uDixkm5;HeoAcU9wru zNzQi6x!^2@N-K)6>X4m#Z^kD3od%N(=t^$ayZc;Gg(SIUOsxQTIy5@^=3Rg{2fq8s z`1&W%@T)KQ<&0Zz=1>dUi#{0&Uu+{Ys}I!uP)0DC+V_k;ove>ejqlkM!UYD3d`HD7 z+Z+1nod^5W-%xGe6daX$yEs?ARG-D~MM{ZAX1zxJdU_LPAe2-mFEl4)^rt#}s7jI} z7yxc#cZ0%h{z7wE^*W}Ac~BL;MWTJiCRP|z6N!kk^Q?q2tO@P!18=*!X#4lXa@0X7 zLfnlvS+}IRIct|!h%{I6Gj-qi=|zuN@o&zm>F?2r~utM9e` ziNq^Ir_&x_hQ0!j(q!YNa+vuap>M@eyvQNlAB2K<17~W?RDlZ?FeHi0kAc+df^IAU z!bFxVK56v5h(UNf{I&vmwDAa&H_Gexq%3t?7Q@yQ3!)lVP(hmXmbq9U8J6OhkV*|* za2npaNFw`e;j~AO2X@SDWSebOsSSu%RMC>yVGnG%bwYM!y*taz`t@Y_ZQbF9P>8-rv5-o( zf!nDs(GZ@eYrK6tJT`A+(ZVHE`g<0_q|_07|Lv{!X;L&^i9fDl##Uv0Jv=Fc@(@s9 z^e7#8^&Jx!R5z{Y`T?O;9|@WZ)SPucZ9>~t^%%2H>WW)v&i;&bT42W%drJatp9i8j zE#@gqU*!6)F*6esJcgBz(NnffsDkuCba3LR+m!8~Zw!dmBM=Fw+>ia8E~|9dlcCuR zRd>S!J$q-PzYW6!pAoBjok0`?^~ZGg#4}n|?g=vRepxD_!QOvI3kX?5FW!Julso=IA{KQ8@+z`#I|#Tp1Z@ zL~7_Xo90ETRfU2!S&*^pht1G&15t}gCB5ZD@-1G6dR_NlPoE~-H$}ff^VBT=-$w%Ten%@x1A()^497-qGYf+{btf> zRuJi~s)3X%R#VFuoy*KJN#TUG!MS0Fv=MsIP?u*7;h;CHJocu~ZXNQEw4VEf85Fg8 z6Ua-*Sn>8s0?^HB_X+x-z~_(JtF^b*8SFgLG-&Z$#Tz9q7C+$Y&bV3xSx6Aud4{VE zHncZ{Da0Jle8)N`E7ynj#LCZCloP!ulzf7***@Zw4xvZ_Z1!Q9+#IX-poLl-jW^ss zK~$VLke_TC8%DJTBT#j0Gg>L95>3Y3L}ngvuG!*3^nIaa>chCF5ul(70SiWJAGzUa zLiDkP&y{|Wpq)jbu`UjYa9Jdal+Rft!Z~C$n;g7jY%mz=HqW>_P$%aG0DBXar7>M_ zl&Ucbes%=~POpf8xKB%Q{W0d*dHszzcwTu(Sg6I5gXN2%uq;U^Dhz;ZQp2_lG#eNr zV7Z{ZoeA5m=-H{+>=s`^al^8)pMkwVWWM}BaJW~46zR)1cmNmZ7;}~au)4%-(uj=xdrNTaN0a??q8uA1F6xZh^;BOC&!+=y&W>_;1_+EsGK)Em;W6eVczGLBit% zAR@`zeKWVmjRowM=PSORTCOT_{Bo1#cCqJ}Y3c0%Xst^5ZYiKB>lL6Ncw@<8ee8o8 z7XUd6)N{Mf1pD#^pO!=1zUBLUNnxkf@8S~| z?rYWk&H-tFxy$7zI4NSVsD#x2AH z)X)N)M0bP+F%b29TD$$%a$+DEr7Ttw!3L5aSKOgSmexK#SCR4!?q+p~F|95?JsX(u z6EjhRPagAjwmf{wg8%f}hix=rL++TBT-MLBV^(MNIA=VGk!>d?MUWB7rK1u%amE0$6tobt=`Qp5}iIv+MeSf6;8b zRx0?Fl1oq9lS&T4@C%_u>IpJ{%$_LiIhuI+d)MHO5*bO{phDKhf6?RX=#s(29KXAY zTwsr05YU#VFP6kCW`^pobc>sOE5QcH`4X9Hz@`);{HKc_fb?E;S zQoR0behJQPQ_I`E@h-kl3WfUCE)K1AhBI_5_jbZG^ZF8w4jeC_#One|t-Wz1-B$IS z4tyTcQwWZMoC#!AhFh7T8lcmZsn%%g_;_X(H$|bcQeT_)JA^Eo{3sl5Xg8%PAr3J- zS?|$lW)b`y7eBK2!e9DW?{)%JD*$Ps*$a)aS}#bCRXeH9>IxbDu8Y)fnbL*LV*RDo z7^UuE_{#deR?C{eoB~?~BusLQVIJR1x?7$csX5m~LTc~0CV&tkVwH+y)43*EmN20s z=QU!ZM4A?a&!xtax{VR>J^oLVtPV^f$9O-lV?mnC6KSZ5TGd`7*~ay-=#7+- z9|F8383L2O9+4H-++ba&DYhIt zqzQi1->ESZS>7RRmrpTZgc%Rxant&pP2*~j6u-N{n?il+zKf4<(tsaeKA7~%YGX_S zN=nB3fxRs=%N~TCz9wd=rg z8U^O^f>mA__`22F5#UY)PA?I5R&Qv!S}a0@?Dq-eb1m0D&OK2?uTUVHs-B?`VQ;Tt zS{npw>N7Npmy=KLsA-R7I8h-P<7;?z>A zXG2kh_q~>-M4QKky%WBztQa?qtvA;&q6Iqhf2M4Qs#iqUF0@Tyzp>+buzhQD)`|vM zHO+!`o;q1djM?Pkvs5Y(C#NKLYOP@S*(jxpSOm$#r6!*m-e2fy1QX50C#P$OJG^N3 zV9*bppC8_en8MyMLh5gj1i;SEvndI67PnUQy|+ z?Fs!$W&Z8=4ORW4M=QU!fxX9V(SH%=ou8kOrN3M)l^qCvPRRYf|7nwct<=i;qHSJG zJ#-?#Piv{(Z~kK@C!5+MIZ6Cl(V3pX*KU`J_M3@p_;a${o69?3h*DJVjk1J~3VrWB z9A`v=DwzbS?wyv9KgDjY6MO?dsj-5;#kOAot1_jU!I!sfXIZqBH@-qQk4iQU2JB;s z_6QZb-?Ctg2WtYDU}P`XpC7;oa&V1wu+?X&b&K$5Ny}-&WDajzHZWat0L~V=`AQBW z9v^KO5;qz5!bQ(gsq+w+s?3mKuEDa$(zPX;@bGCD+VJW6W^2mox`WF5HM(@H2sgjtEul zp;YpuuPgzGUdCy`?kUK#h58y21L9ggp(&%y2c32}+eLX-35+XHp&}p7aY z6&zlywreUefput$9!BGxmn3ns!%zl06)24p=p0+*+ zhl~0Fpq)IpBzS)hNl+y^dnh4k_FU%=(O+|AiBB-k<>qtJZda_lSV;O5?-s)UdzCUuH7qV%ZJ^YRe>xAE^H#vK9XnzgOb<$^^r2m&JPllsK*3 z@e|JsL*3=RA)X5kgOqp;)rn0P#xm8vr3Q<9f-O=1ZbwHD^_m>tj6~>-M`K^~2xNT2 z13Ran>%mv>TZMn-?9&iG(96lK=#D&N#ZTX{tj=B9JX%Tn)?>aYD@=hv36qfU)o zO2T`5h~g6VETokhKyJmEh4fg^5cx4oL$Fbl!3B|qVxTUk%slHY8Lpi~NszT7y{*o^ zXIKz~s4&M4<{qVfk_op9;Y42Pm{@c(c$W8-q6+ZxguLc7;DCD1%iAJ(*7M6|Qc&uQ z$fFV5nyX|+zY`@s|FAWlRMe+sq}eO!19BGYcX;ev6AihV6q~+fEWt)K+Ba}wogdHy zggY!&p8HcE;>(&$uhjWAQa8szmPhCNX0DP&E`l%0ADsRS;-yoj&ZN;uEK_o|4!q(} zB7Z~c$Z0gREKoPdRgOydIjY`3ymzn-q5%(8vgXo%#{x_$W3<+qN;2|_#4PkYxVe6| z&M)1SYfGUH;R{Xn4oRi8V$!4S7>2)q8m|Cv8Y4lP?^lzVt%&t zw{nEnSl8F<`}^~j-lYxG%W?Mdm^R(%JJTEzJ<+tU1x-(ecQw@vU6GSyfbqE6XbAl0 z>+FiZI$WQ&3d10i?~>GE@AMr4g4ALV6%b!elB*mTf@Im@ni7C-_Hh_aEKjj=X7yqnjve z?0o(swzN?DI}&0^i*qv*i@EH+)e2!66nb0sjpdO`gkcrjv7m|omaS0B?0k)tr(Ul1 z8WMj-peYm1xGng}r^<^?#&APL@$otpS^(O@Ix0MM<`(!Eu&)u2p42#XfCxkE5!P;3 zh9Pjh>l<>N9t3-JXW|Y+f?ZG0=E$y;boi!2Tr1r3!$jkoe{%u$px$bKqe0jiHsX%p zxAXEQo!So$yW*Y?cSNO;t<=qp=UuO1ORa`5pFrKMilxU_P?dfCRpL9=n#vWOX75o^ zsDP2nLMI+k%T(y4*eziq&up|nf{{Em8=hPV*}S%+_o2_dhsjkPw)OyZX62{z;IaL6 zRZ54QC-;ip%>*vw4j!l8c6}C?^USDONMk-cjpCu+)jtNbn5j+<(S?|^hk5jbni^}7k<$H;AkNLeacx7%c;5OXEinseTv2XBwbQ&#Rcu0`8PW zUAt$oyd*h`0C-)dH;jRC2?avv;+__K`TkeNv;#u?zuGF>74q4&9x)PsT!m%cQubjJ z7`?_}D;pRa|N9WQ-?t&5=?Zx6IQ?PdyWqFZzeR!_trDK%_w`8Ln#^#_6=XSfx$nnj z15_oOf0DNSve@{6c2GKB%d`~yiz98dB#<&I`%bIlpb*p_7u#Fw0bskI+jD}##|T}R zTSp&%MW3%fV&H~?b~6jaOwn~T_}~?o>dzNF>yEbI1Zw|X8R^OhJSTF?_voh?cJXw6 zG-rS9alGvB!SK63-6akxK8WY{B%5~Ht~D>Z2oh9!^2iE1#z`&%oa`sfvSllUx@8$ljxX^o*D`whlHge-Ag>BwAhD+U)ihf^QIL9g9 z*{A`A7nq<`;NM)L?rm8oV=fXBO9?oVeKAFx6Gto$HIEkXiCa?_^eqk5RxVpy9A`rl zjCxt0D7JIQitculpVoeappH`rYrB9=mpIpZU!Uv~EtR#%Qa75bo3nEj2kIgb@638r z2)Bs>9V_i$y5R{22Mcil#R=+Ez4Vx4Y16-Og#QD7aeLjP=|?OyfX%h2PX;QY z=09OObbG?!e&|BjD#MzYx+1>TR zst_A}Q$vpgvy#Q5H#Xt+_(g)D?fJdDagH6{HU0Ehgb|&O+i3+4R^+j6a%*8EX6e|A z{`{aV5#ba>DbvU74<$*7MSwtVriGn0lsaAn%ftyQ7D=2%!XEFKW%(WOOsQJT*ILSL zMtpwo2g(SD03S_xu{1*={tD^FXp8^&zOk^GHMN03t(=%aJjf?S4(^Rds62EFAie(QY)jB3A5{NT->K` zRVy&bB>>)VD{ib7tX#xbDD`!D9tD@pJMQ%8^`CvvOxeuH`|Uy)x6M#;?5OKtzSL{n z8xX}uiTd+kp=M_sp{c#Z)8^VD_i9kxn=$!nyGxBlB6s=vNIiHvaSPy7z^Be%5oBQ+ z11sh^%!spbcYgQgBpw12Tv`~IO@TTS>;n=G++x0Q0n9e#YukAzf6YWr?>v8kY|0i# z1rARQcVVS?DwhE_8lHx8H$zFSZ>#XbfE@JNh6|(JNB7%>f?cf?wQ(D$p;4S*(B-3( zhF>49Z-6oM^!C7pQX@|!Ohz5b*u(wLPSgAU3VHqxV-fAtc?4%^^Xew z1iW+KW>WTda*S6yw^W@+$#o*u5l2E?nNq^sdInu2xJLHR# z_ax^|@&t${_8Nxl?sm+x0IwCBkDzu!^LW_w^e{dw_FGR&{DYK-dj!nKt9-#Jx1HZb zK~H=*Dey(PZXGy>YRj=6__?=~KB>F09tz4zJrzUya>AvjwAG=TfkK!pBiM*uk+P48 zKeammufQxPb5baQq~$`sAK(tS8ql&%SQaqySmiLvz(6hKxUTY_`*9$hG8YacE3Dr7 zDMoI3@evR7n3Htpe{3GXROL{8gsFYwdBl+k#cV`sb?LZ@AEl!C02h~@v~giHsI%bJ z)xQHLpheBlJ9+&YBW+hIA6TA;Q>v4s4ul>WMP*uW)8ZX>qe&X&nR#P++;1qsAhvRi zz3j$ksgA43QIDqzEO>2F^PV51>40FVHc)5dn5mkTkzLZ7YYc>Kl0U+P_!BxofX*gk_7Z@rt=Mnx~{&ht@k#M@%UknJW{PMX5T!a zn<4o*xYTfAF1v_8Gs4gMf$a%f;Wy1)H&%joohi4(7f(~#7s_JUwnjg;$*N|7Q+*W+vQJ<-vF zSIktAp|33!)R(&0^ubmVgO9dFeT6ezwSOA%>8Y{mwCz@ci^FQWC0-@2(kb$x5|-Zl z^}eB=v~%+Lez0#@!cmgVhP1zh{;SXjYWu5A{Z=h)mT&%eecNUW%3-1sBj-13TC#|U zRntNdm^D?IiON2A!bur$2V@UlMXPu|@J6T0*6-lUk!*tj5)IMWBftm{So}mXp;C+f zxkT|z#AmpYR;I333>T)>Wr;XtgGnP1eZ3^V>T{2oq<*}EIeidL2gc1)+HH*%KT%p`p9mK%MzTjTp=Cw|0RVuRc5TTZw8%JMwPb|5-sKN3fa>x_8hkBw8FS@LVk1r8k z+77to-PI6HzXAvR?9P=mMebn|wY`2yy*ZIYYNm2vnLQ=nP@=X82b@AH8*hs*0}s?Sd$=m?)|au2^6RlTH82n%tOg?OuXg+%{Y~8|jhNs-;tNL)j(*KBs z(R4FLv$b@G*upu5CgMD3s`~)Dn{SQ^XfVZ6`VfvbN6O*{sum1<;TDB}$dQvw!W5A_ zeAg)PRMmtjBVSd{6LPK>*<*DTf}Q{HvLQ@aTgvfup-Dz@TdwNI5pXX&b+wYI+c!8f z#)#`J{qH5})nvkfMCJWe7_TJ*A_mpEaUYYJoP2FRlfv$?G#!Tb4lL}z_wM=o9IO+w{0}hF7PophM9ii;VTF=SePt8%$R+AcZT$v3tykSp5ex4GS|x3 zm292Z5&R9Mz9QwBc{lQ)p-v{}ZQV^!CNVc1K~%Z&aR!?^2@`027;NxvNO`MLsVWk} z)1KsrJ(^+1RBQI4mCc7|Pj3#=vip%FbbTNIe4EI-Cop&{JUTe`)4aBi)Qs~=@`%%I zqL==lhycS^E5>i|T$Ds{`&dQ118KQ75^;QnTROX}^d{LQzJ}0JtQ}smyyhOxn*NYA zg3(!Bjs@;d_a3E4nDEiN$1dH#=ckt)uwb1gY?(tE%KMh*8!FJ1eS*RpMFP@hoonfW zHQMTp;}U7>i^F5vtqchn#pj;tDWA0Yn<;jTI!R@whn}`!XWm)BI7f9Hu;82}x%vh+D`OBERb!tS*vf4{&!aZy-Sh;>mE^O^FPbITjQy zObUaO4`o?Gh&!=UqzHu{wN{R9n^I7OLS;h2dk5E-9rT?oLKOOk zCA)XJ?KA+oGo97t)qcQv46S`F75oGvkG(1W{r9gV>XjgRtNV3O5$#_bi0{DnSo6P7 z5b`=Q7h=O5GqGImfjJ@TQ_F*p_BjVqt-ftl-4?j5FAnumuMK0?&S{s=Zi@tsUlkuF zcSZ*n+dDH7Du(FmV-@7n>yGv&GHe^Dmw1u)sM^X5q|>eDIKOA@p0Z-LO3$p~m4c8x zXXq~3{^AJ8M2MNXao2Z#Wn})b>|ri7#*JXsWp*kfBg&bR8BBtbYE$<)az zfmt&h!bu8gxZgIX$wFw(i>dfF$qS?{x;D@EpmlxF=NyV$4@^0X%IpDo+dKw4DjX3L z^EMw!zl;iUjWcQHUdlI&zqz{uOFd_`(?XmYPNsg59=!;$*?SLT}i9$|ups$X{x=n&m`*}3|1nd-bqk7sGXS=#C2SHQ#JH^FDW)GhD+z?_{- zD%5wy!6V$=Hq5`@H4M?dMB?Vh59(&~*>W$Vc$t8x4mMn1a&`A+ui)ANSklHhNXNg-xk=n+7|Ec?lW z%Tp%Mwu|D`GOQbtHUYb=@k!zq{x1BzgY$abV|f~1N-&u@fVLD(BD1R%D|K<-ir5*@$wI}-nKgT+`Oxh9f@0%X7q5olt{jVfXRn6*4DX>}YfXFUBh1*sixA-K>mzbW6huXo9`mKBp?I{#K zBb*sDe{pP$&CBz;^XH9TaXjn(kiTk`-6eVL&&b<9x+g7=kvhEOvZ!fqe^H;ZqaV0w zG<>wt(B2zbNpc1RY~F#_^aSDG-JYzezdP3@>p7N}LAf#SfE{#Gdj;$Ch49c{|7%SW>?L^SxKskdd{k<~Dz)pAWwJo1g zO+0@kk5r6^G>bwE_+~iA<>xGPEXk{^G#t(Kp!r&okXy5b;Dd@ONzZ#jh#TB1Z51ra zgH{1N$2SrnK0wi*6A8;Bvba%YfW?;BBjNRhkC0Qt5IfoVg?f3+rwA^GdkrSTQ_&7l z{+3y~yQ6Mtc6h#qG;q1*j~2A3a`(@W{gQl5jxHOA+9fV@9R8H{AqCUZ(yL0oh4;zb}=Ln zSRC!>>7JZ4^y@8O*}Pl(*V8?yqA+GV7zq(DVd?41)ztYqErzQmSeoj3i$-hH;#Vpg zD66_EPt6w#N6j@GXVZL+RozwNQ55j3`BcJ=bY0^b=J_R|PL^$o;GS^!(J25K@a2!% zvlxiTs32XN%T8#O^i`P6_wdusOTtj;++$3zM!O{UM46*gnA>Sa3HDvgB~L)n5N?{| z)z(71e})T3IOWaiYn+=RjbJyHQGrf~rR6vBEu}bMTw<~73MCB~^K|6*JL?=d{_X9Z zw+eqNuV<}K?FijVJyz^)L+f>lcjk9(+c`tC7rndD;TujTUJNz+8T9mzyg zK!*sQcajrM)sb;H=y=-?BP8V`hQm?P^#jc;{4*M8IJYxxrkKxeNil(0YM061?Ez={ z+XAZdQM#PIt!^$@dUjDv`IplUz^Sv1saxIi8YlSqqAFPjFJrpMO5xlEx78D>GVvKRYa zd&IU}2@}BjLRsI|O0{#%V(xxbX-F(K~K=vzd zIMetuQ_qBH!ZZL(r1TI3PA)E|YhJlg;3;$sX_o#dqt!|oCq2iad|c~4XfDZJJYvWa z#+>#;M3koOD)S$`A(lA(;EB!w-UxP>V7m_N?`ZW)hjeeM3d6%>VGfZSrvlcv1$ zRRQB;s+L%Kj&(82dsLTr)JKiLLs_@(ecCzGi-TbP$^^t&sR}2CC(MU7nM0$m;@8mE zox7NC=U;A)%J{cS=B3PeVXJvotM6k1eL0YER2E?aqPIn-0@($& z>P2v`219M=QCal@Cmg7HAx37?JGup$)HN4xc-qnYLZ{@{Rfv z>iZKj$33yh3MUCm&$*Q^CD)xb16%d6?#|qGErXK@`>DpAxv$A};Crmt0;#Q^0h``W z`5X5OYp6BO3?5GMe`5`)F^H_&D-0jDqGJaa3LEknqCY++v~Q8tqc=5Qw-o z1p3pj|41?GUg#J4m-h3`H|C+6%8M-yY6DP#N76^5Rz-HmS?;u+p1kt?^;poz`iJse z?Th-Y5b@sp2#Cfyac#e8KOqXr z2g;t^9g}JhK0{K1mvh!O5-aQG3pw#eyEE$LQ`I`m70`iK@t9*xcM+e{{GofWhr1Z7 zn1q8iUhtw&pZx}aXDYaHl3qz%Z>2w%R9zAS@9U5=kkPfG^~Hot%@mord(4SUEj z;7KcQ{XBc1a7B4DVR=4E!A9uz`wN6_LjNtkfA`hW_wFt`}q#5==avOcX>YYy=cA9aa|xN%=H1r^h+L3zRnxISqKX? zCjPS7sNi^kvJ2o>E5M91ea*%fj9Cv9uBy*@bX- z?UoJ6_?56k89>56ct%(womwQxx6jT&JW(#XO;63c50;H(Th!bOn)Wnk!B<{sC5?R5 zM);mvzt2ie{~UnKE$5x4Q2ok=A8QoC|5}ut^g&9_PV3rw0Jb=d-@(3S=&ozwya0$$q#Q}~kr-_RZdB9qR zTwsft1lz#wWw+2ksBu8T#6nv1r@xOZ6qOPK1#O#UfeV4Vrd8CKXP%(}1wb#uU+L3P zzX$&a$Tjdnq^k`0m}O*x3**Pq&F3j6W#y4A@tMm1<^pWSf8Y7U-~4D&@aqCHTR%qD zQb~h;nLQ}|Wd={9K;WEj???V2ABg#9?#e~_9FCuzgPcE2cb22o$kTs_)BLK8c@gX# zNsLyFft1>=F&;yybSkdbn&g^0t(%plakMd`O$3Pbv5<$)_g}sJtRZf$o-%0BEg%++ zn0=b29{a!tBdX@OVx(NdfaJ;QQ{jsO-=diMTL)too!J73-Rm zhFN;>c7qpbGlO3$SBsoZ%Ho9zJ$k~o@|3pAMomP+*X`lbbw|(j-2L*Ld7NTAF3f5E zeRT1)fzs^wz5BY-KsuJJ{Ofbw{=w$R7vGmmk0+kBk6332@)`sO0#x=HIEV*HKR4%F zE&}a#rqEzqTP7etQJHN^l}xqsd`Cf8-k;K_jVO1Wl7g1=DGv2I81Uj2t!kBQ*!3CN z04}T3N$mBPclEYXD)uxP{?+P^&7^Gf*d?a{w?$bUt!F={EK$8`?!QO>{^MnjyDND1 zI?`iT+3z+?-|3>maMrXCO6BgUuv%uXR5*m6is9e0LNG@jOKn{sMXo;ZvcWtPMF zi=zv|5Yy^Ij0(p;l>!f7+Sl%IV&uB{vW}&vD^R&6mh&2t7Yd(VHW#)p3@RU%k!JRH zS`AJl<+J7=a)BE_?Ky>p1JGUh8hPZ92ydfWD1HlCnO>WmQX?5d@^B<5x9MS!R3cY) zZ#UbK35#y&q6`}RQkF9x=Szc`i!0}u!B7oX;0Xr~G(wvmLuE{6wz^RyEwjsn*ws56 zSRe1@v{3Bfl>c*!Wa~5^#o4;%+LutR>{eD)4y+eNFza?25E1qIlgASomiQ(u0~pRzx+$%q2sgXNF|-9aSAfEDt&-0-AfbRh$r02a#ifc zK?D6J+g-}HmqV{v7#jr9+0R@5{xnvDMmZjXcz%Za?yhi@=|B(7e!Fd`%w! zniAxxXLe)!RDbI#??hxvY%0CL^j(<%itc<(aQu7P5%o5NO(&J*nk#LhIDf1z|;sLkMaJ|O##7_3h|_NZfbT& zG?j}0fuO720<@$sRRJgEnwH6zvyO~PjF*S?7m=s_&S$LL^+(rlt1I~3#(^C-Tl9&W z_s+(Wp&R2lfTM_lu_lY*F&px9+7w01Og=k8$7=Psc<2 z{zu94ezQjvrvlkMs{;t<& zpVjZiZDkwj=0PoEoN%UR>dsXYVj-!wSa-2N?U3j_&h+g>#3Z%lt2f+Ra#TTsCkLDP zm+&Dg{8?-G&v1TU!yO2M$0nRq&?ctfyk35K%nt5@V$UJ8u2>EJTv_eYI@JnEXZ&o; zAo5Mq*uwOBXgTtoUW5*FM(ObB-J4)F+-Dore1H5d%QptqBgv{VbKA*zADOW@g*HTL ziNd4;Uc5R*%u~T^i$p05$n2Ba!UC#jX8pq5G8X(pQ%0#yd$>SXKS3d#&O0I zb{|9gE$N_WnK?B4y>n|jz9tgDmP2!ln%WWu7_H2!?O*v!cf}jw|4HK{A$$PI&e1xd z2iVv~eiElN`?=PyzhJBKLh&3m5z8IbIlxA)uMLxgpZhcQ%8AH~GEgsv0el>_HH|9+ z_EU(oirBWp@~VArVdGU3;muB?xu8{F$wWc;kCdd;ZvFllj{{;&I?%0s?!vQY*+n+< zK}By~YsLv5Y7Q7@3LPmXjJiW}9B4}bg#z5u0W<7nXFiV2@X0Jm7RLjc-M#B9hji`< z%6EbBqB#k5oo$gUyR_+emZ}FNPrTt z@I=G)%sLZ#a{%X*Wcfkz*Wfg4gU{LA6sVWwRc39_+Bzv_5)Q=+be;rpKZ-r8NkrOeZfNe_$96uW zx;W%fLEsUC*E8tZ=4j_b?vhQ5G|v~h;-OVoyR_BAMT(`f^HU~|x%Y=MRFz?QvG$6h zjKcGMFbnJM+*fPbMuhNwjR1+XWtKIUnY*jZddY>Ku(pSbp><_V7|`#v0~6|X`m{l8eb%^ac!`fpK0^zA+*dox6^q_kdtf7-uQv5TNpO8~si{8)AnPdZ=P$m2Gt!ol zvCah6`2mKBE*Pk<@U~K=mY?J~{05aaN!8i3I}8n;TWGFP<(+1`dzj`-oVt4?P*y@X zb`QWIR(6eXplfT}uEt7IoZyqru&P>{xts1!7|xDR*dAF&853`QqFJgCt><($J9#Ha zCl#Nl%FKk6c3nNy6?eMPdDj`9AeV%kW{(Y?i|D7?<^&TXIo-;r?CrRC+wsfglRb8~>)M{hNBgD{Vjg?sQz2#A=`<5=6!7(D-C zc4-7~u3vU_WlF95xeA-=o+1#G{PUMjh(A$s>hkD~(Ufs@!?rw;xuTF#OP5iV!*RNs zBrB+*9%-QH58K^qb6LY`sYbei}ARclY_uS zF4^L>K>)ZYXm0f3_M8dO$_RN_tY|dr5xI z8UOs8I9}KjcSSAXc@Op^2?YE=*tL-BTiN*w7qmF_Y`##|a6lreFF>i*RKq*-T0Uoj zUp(g9!@aMheK?wIYZ3tdzofVMfXqR}o)M;Nha2%bRZ;gA-k8p`GX96=1Op?>@HC!o))3j8i3*$mt9A@k&?fr`%Hc zbLWwswM=lkzOu$;#%!*bWZ-h0Uh%IYEYf+ct1&152k&_e8KG;6Jrh{Zgs5j0_`iqQ zgUu@v?ftoA(_l|8Y0y47+@aGkHuJqlHTJ(E;FNeV(_v|cK0|x6@~BsZg?lOsjh2`Y zsm3w6I^2lq;7;;g4OiUkz`mwsdE#61*AwxM^Chm$L!11b&2edE+bTUp)UK8_F?iMc zEP6A4e?y4<@W$G>DK`P;^)qgAzEV9&vo zIkxNwO~u%zw9{h$>MTY$$hM@(3Me1M>BRa2`O#(2G`-j&@}>l(Yg95ZJyCv_GwP(; zCrr*bD4(s{;wzr>*%wOFChK3&5xq7IdKyC8ZL{(VNikC!PJWp%(8^e4Y22X2?b|i~ zZ8E2d9e%-puV7>-4kUh=V{`n$nqY!fQ&d*`Hm_oMF=jnI+hMpP(J1vJr1U3KMJrSB z7j;yqNRYlAPr!-ytR=K8n|&hyI%k>2tZw&@|KaPuXaI~L_#tx=iBw$FNJ5hH>Z&|~ zDbm;I4@nr9JOhXFx;dd_|2n|5q;DPoDW{E3$n&P=Pe-X@(D7}I?*7t$)r<8h7m?!5 z(O>neCV?_5)>H-SZh4~2ck^2^LPEjs+%y5eEqQ^x-=86ij<}K?#RbqLe589O3+*o&9!~JkD6gRh=}ruiKff|87>wb!fpI2S5Ry3^=Fq zVnT2aO*1K~;ObQ0*KY5)h-U-Bc1c}#l{(Rf;yRy3UrA|!>yNPN*U1G~^~;IbmmqJv zNPzRkvi*X~>9w9ak`Y;U{jrP`L^%z`Tvwzv%ID=I@_d%dAFbfIN9&KT#(CgTs@nD@ zI+wW(b9I~e53$MM9g(ZR7n}SpH3>J&f|vcyP)Y!N0zU9$N(mu2!}P^0RN{IV|7-D& z37|AV{?lFR-B8dI{+PVHP;T1EOVHi(dVk|WmC)na5*JbH2{snkfHXhgK?!&e5_zGs zf}%VVZmW2=`?}Z32_>+60gqqo4W{Y8DsIu7<%_{pk&dg1$vKt2*hFzO$)0*MpNO5L z>vMP(fZ%mk!XGK4f19N zof{FGpyZe$nQJIV(t@;nKCIqU-P&kKHyBR~_m?9Lv};a9sV>0@EzqOUF}iC%utB1+ zpdHVTet4{Rd0z`H z;rS{%C-q+znM0xyfdoU)rwnax4K3Ww>vo!d6##3|2RCWP=YGx*5#zJFfBW}FfL)b1 zg2bB3@?IX}jvxbM@;@Q7O2qh8CDSNU&{>uNyNTqqHf&Fn4yC@fue?jzk7ozO>P^$F zp&dNPxeA~@&`&>uB|w3BEV=8HnhHi&Li5(?;3yYGp6wa?U>VqQrV5Q4VkxlIXc#N3 z^kIvFwZduYCh$$fWZRga86H&FW-9tF0XlI~`6N4y$?mfr0>d^A86=mT6^lY& zuwgv@X?6NobV6hL4+*O>p4N4QX6)DwQg!}~lNxM(yfFL;k{k!xQ1F6l_OZ^en`6|* zIUQK#R^h6(B@Z?%&Bq-<{dDVM8;68y*GBB-SM37l(h7XbOoipuwm3wMOOre2d z23c`TLIUd@5$bjJ91c!b_Mq!C(W3A)CjVD-iLQdcM>W^o9K8`gk*gyo(;3Lp^KY+R zr$6)?M{HbM@gOI2XKy^bfI9>m==!9a@~0GX_zNUlnmY{B=ZT8zJYm{^D*~Dam%x+T z(?Z&fu>hNkIaA3z9G20|ljp~~NC}_+l}M4LQ#kuPo(ybM=G%-;ln5z!ot1F&9hbES z0O-IH7hQaGO+Nv zU%mBUzaENWv?qnYSAZ)~OfK@-1xu@)KGpG|1>Tn;w1`gRRA45GJ&>9HM+W?!=Y-E% z9w#?xU-w_hUSHR$3o5wfh2yo$@U<(^MHWmvW4AtcJ3EXizmK~5%>;by z?(aH2eOqqMztQ?#=uGK_x0sx_kJ0?t5_!vLdLV%Tlf>R$7XE* z4h!1&!LvJVd(`E_N13@fp>S@!|7V!a6e4$h=c}SyoUfqxU&Y-&DEI!@;dL#Xyelv7 zi``o3y@eTDKh?g2t0SxH9}@~?ASCIFk)Rn2C6Y8nI%LP#Xa9`(2tJ!vRKZQVs75mF z`wmC%*H!VV*L!~B*GuQkVu=pJ#}D^rR$sQYzkUu}Ff#HapcOWnNA;FkTt<7U!_ztuoKT* zFZGy8Yk+N62~&XuZmm$>&BMtOSH}}l$MZuYoycsb7JTp4UaE9;z`VTfK3UYT<(Njf z`*ieNUTx7B5$ca5eV^39A+EZ)aE7hZ``mKEsp}F|BW6h(!cVICI_T`KJ97kZG<}z! z$DjoH*QQuWZ)g4w0RHn=Tk0V;3oh|(ueMym?H$DNAKbC#B;A`v00a!vfM*I*-(6Pt z^PI6iqjcR8#{0O&jceebAGMGNI{4Sp_aAID&bYTXJ^Eamn3rf^epUv(ZwSm?A{?8Q znGxE0we0e3i60rVgAkoB6C*&3yWIr}Hn^k?%A3~{A=;ET=kun4IqE^z%CWK?B7@Az z?=gRNJx31~{6{@&Ky8tFW^D=f)nd|)2~Oh*Guo#(nD=c6ms1>15|QhbFK9zKiDrH` z_W$naQ`Q%5uebtmC!gj?rLb?ahl;+Po$k@rWsXMZkvR0g5o$y0^|Un9>FT`c4psks z1_Jz35IKWRFh8j;Geu!kwFlzBpGy+S&_QFYsvJ0eBQ|ng7bf2 zq$6l1ro-?9`|eaZGbky5SaTi|9Jpps$TXB8@xp*hBiO~KA{cZgDtUysiIC0OG z5FG<@GL<`YzyEgJ=sj^BW9zB2|H~eaayO8bHsouaTbs&$AqRPjTmj5gvH2#F>A@_<^F^1_22w;Rj^%VcViwi<$Fv_7oD}+A=}4JpBb7 zn|2@UUKzxguRxKoH_l0nXWxZW#g`&eF{Cylo*X+dbMNhg5?aC}kLdMqDJ;n;TbXbo z@SIBg!doJAkR|}T0C==dY2YqJ6diUWjVB(*74R~eVAkf6x67-y7FMT_(oSrg!zBiN9mFQ$)$whs>N3Qf!(LGNZs#~)a7PM+OVz?~B&TJL{ zeh-Pz%XuS2F+^#JEYgbd)s%4?l?Xvn!ML)Ygwm_FI?+)l+5xCmjh`HJ? zq*yLO?m~CPArXsL1P&Z3d=hKD3oUkqd;L1QW2}fM# zT6Gfog`UhM8Lzuk^5+o=s=vNKcZ)vD&VT8!GRtbI^=8)|>Its~%dD{^9~~k@9>;b%$5{d@`4!bsTmt0Me?#!Tm^D z4{d+EeNQLY>RI80;JW$K8T6Oyk_%WNaM{MSt;j}dD5QNEKlHhU-mxmsEb@3;#jyG# z9hiCOqJF+$1;hxpKO)Td$~>oRmzm5;`R~1+!grlx6N8&Ox&o!E1mzWs+OlDr1yjdg ze94nUeWE=lYIX^xdnX%t!4Ft@m7gxWBLUdenHf&-#CUF{Q(uuKl)hX%026vZD*|-K z&N!IV!A2UVXIv7UB8ACOC-wr{KD}eYOAiR0HR~t3^ZwdTAtSNxUQd~GOeANQlg+Ln z;vCJC6$8-6Q-e>{Ot%hXJcDk!`F&f8(ql=XUsaY=k2@T8*GB5rajH>$IiBeBI@#&-7c$wx zCdF9uxnOOHJ`ghVo19|r5s+)aXWp|;iB9)}jb3yg-DLkr<3JYV8}HlmMy>$rg1htr z&`%4e|GAf?$)J`4pa4x*XBxFaW{I}fy6t;xsVprh5i#d)&Kh)`(FcY($mmw~q`B zfYwrX(oIuKlC6NQSTfMGh$9z>T`?<$Jw?DA=VYW-14YoAOr>}I!jRwH%jbL7m?>?H zq7i>$01bjyz%~^@V1E1RV>AOPC&jFfiUuyhQ%jfG+`Q3ZyXBI^zc!B}3C#ao8&704 zN3+=4(L@Q2r&}z~zrG6;qxj_^Bwc>Mrfi!a@AW;F;gv77VPMneVtpbR zuk(2`@F8^M6NFC@6D|c7#o$*3*PEO`&-9G1r~v>c8bf?kuCV8|OIenp)!H8yiMmNA z&a0w-xgJ#dF%@|3^V)*&Ku&8t6BDX1@@1%8-XX8q9p8=DZB1ueB3!HgfrUu`-5l>t z0jB%0X})+aw0G7=_JSbaT#O3W@18O)ndmPDO7|)Y9<~1P;R&a2(+jznF?yHVSe@rn{dQfqzi`uEyniNDU%9 zoZ|ye-1bW2nf|$XI??M0*6Va{Pe4cTv$?%wIi6RPy}Tjq<~lS=&bMWZ=(O+D6P&^x%AE z_DG(w=5Gtl0=o{c&`dG3kYitMnwJa$BXFSvx}bc9YC`@30D#djG)UDRKYA1Geign; z6@cS>Ek6&~ijVT>E)D~npuQXt3i?U&uqS-5L5{B^F#_n1P_Ld$>QFa#?W7-}<%?T5 z3Rn`sa$%xW51E622g_ZEhov%31sdu6+=Ev@_uBPXw1- zRAdhDRxA6QY~mdCD!9D1Y;g=$4d3BgUzY}&IHpzQoSh{ckD!W_nUBkmmgJAXl$x4;y`4@j?w3Ur7=vB@9&Vu?TgO2u%5k)3{N7Q)XWFp>@jTrv!Xq)H0D-S zxQAoums!}>IW8dLg%y9h@{8)ef{v~%ed-~6t%^IEO_5mXQn$z)F0u2B)3enWL9=Ec zbJ2_Ntac#c2a!L++&z+MO zWV8RV_-FMyjB}kDQk>(6aQSAlqjGZ`lYP=8O#L&#(VVzS_S9A-_~u;o(|#T<7Vwhg zwYG^6!Dc#+{`0?#(PQc#&ut3Xd^lxC9u4EG`EdP)lPUKz$b~`VS}f=YlsMA*T-b={ zj6w5Q7L)`ij|sBwj6gB=-Jz@M@Si(FP{$sXE2tbLrG+Pb#EQKBJdqYXJO_&E6$zqy%+jb`vUHD z@;QV96Vvk3Fke}S$B(tJ_$tk-xhT0hvx6xhGLAO_<((`>E0K7w3B1Tz2Q z9Jl$8Vyi&jOW)H*Jy>MWQ|H$5@j`vW8%WvHhY^t3E6IPl3GkqoHpD3=cPZ<@tqw@}5ku{Hoj5VK|weZ0SrCb5dsHf4j&RtHfm zj28A^<4AtK=K11$E6OPmNDek1R>G5+Rs(Pk1AH~ewI}cPE844s67E3$ zXOZ|{O${PoyzGv0ZJh6YStv13(|j zH;B!o))1=~dAjOWDl|eLWzB&A=;Nr4eYv1cGVyG{W0>9t|ZyIjQvznT>yv z<7~ux<(F4?S8=T6O(sxy3CjNCszAa+@3jwCFR%30JB!36l26hIDPwjO$*uKC*D=eQ z-U7UWNt}bw8P@|4Mq*F4SdyNANjIr~pf%no29~j>@TI&`31_gT=0Bot6D2Upcx?^8 zTbNwC`woVPXI%JSC`d7GethaFOr4_lG^3?Z_t4j)AC_S%)Vo1;CT28?-f8<=%)MSAKH0gr9;}c6Chh^2|1cKq^Mk;^oR=R>&_VrPk)mr`i++QA|Z5I3kkq96a~_%X2MpO|(k@t53$>^Skmz&9Ml^<1s| zc~7d~aHh|&P`j}2e$8Jb^uutJNQ)*<)AJdi!w&886ZY0`w*9*@tGkCN+8I}w2(=rvv(`iBXQ0aZ~ z@X6=teb|`uvu|E(P{OLx8~fo*NQ~*Q(=o z@>vk>sWG6y^HleuIPKw};d=$IZ`9%lSf4!%yH@1tVROabCIF1BzZv$1h(uhUD~C*a zL`0g)X!NA_Wo+oes<|jsyuOD_D{&jVMAN&(1nGZq<~avHcXT9fXdtq(Gbzo0;xeWH%5JCAamWDZC+$3@*T6>7|W zl^>V31(9*7a$GGEKtegr(SB*dIbZ^gmG`whlNL6-I#$?lzqe zO<0(1@81}e-{_sLO-c#g!b9N!mOTn%!7lSDSspcHY4~d$X^H z4en;JifnFDYSImOfB^E+jpuTF3W9~)26a8(eb4ZAi+S%6wJo3gXPe&)XX57h$h%62 z9HHxeP3)jV2kED`OTCjxz^<(EzcxB7-F@-q$a>mUWEq$94OHWYGNu1QH}fj2>8V@K zul2V#l5QqT0gKQMn&76bqTjNU9(a1Y5}N5QpQ2}^9d*hz(LNZxv5AaaJ!Y0lp4=01iopFm ziJ13R>udttk86hj?M_5p)v{rhDGdKhDVMKe@foBqn(5FyV2^X zl5q3M(f&xqEcUV|kDj8AXW%;{D)a+as+Db>9wO1OGtY!5m5h(DW@*luowp|nFvlLC zRUkk0-7+)Besm8$T;vDp8FU^W|57Ve8B_e$KY&8HvrBgzA2}AjF@<|Lq_u6J5^*$2 z|0iVTCTk{D*{GO`O-@q}zT*tj{vV?TQX#QYvu0mRnenOtp4*O50d(Dq$}Tcpk!A0E@eMb*WI^VYN;Z>7$Qh|5o6k+%10{U z7I}~4m{EHYKG?DdB-I=Yrx(BqN%8~`*lw4Fj!iL#Xz3*v|5_v^ify)Bbmj~g+}bgi0;Jk2eG+XM;z;vw>H*KZZd4!FcY2&l7hCU zBUx2t4#<4c@HbGDsru4hmh6=O@H7X3Pkdg$#suU>9Ae{qJ#RPgnX~%ux%7(oyT>(Q zJLef40g5U`GPqZMK*C3i{{$Wwb6X; z2{`vktqHD(J}rbG2LE9#Q8pn`lQgtK1Vrz_IhKB~*jh$0sm$eE%JiEQh={ zUUk8bhHQ-X#m|q@%Ha1$p3s|bBE7ozlX*PGp8^D0aDR7A13=!m)^ElR$-lB zx<*@%1zMGusEx$kI64NPu2ni6<;ujMYX35PT+Wo>!9%J6dVbuhd}(WI3;{qyBm2Ec zYkc+tI{m}g7jVX5c7T=UtNT%!@h&A_HSmoE4upn35kR+|g_rtRFtD2Z^>fEAILtZl zF-ITVn(5JRI=!r9!LlMSka=Q;FJGKsVhY=txPsBer+F?JOj0d;coEh&eXlcHJ>QMT#UfgOv zJGg}YjPgDBDqvKwP-F}+=CR-iHW0X82;8aOgsioZEr}qhVubZ7+y8DjY?|{a&8=RI{_+)LQ>w97F@I{wjtSS* zQKQ|vm7fSI+-VpI3Y=6cAvQEVBFs9${~NpXq2Jq8SO>PNar z-$dWRO@rZx-MM~J;W|VQd>xNy@D%2!#Uyx_IlfitY!Lf_I+sQ6{bV#%f%(gQ;J#%e zP>DnOJTOgYDKdJ>{Tc1Zo#)m`B~Co$>pkspCooD120G;39piXdEuO~Bc(6=iD(KeNM0Jie3 z);3QM0~d407M`9*hP6rk$ECTs7sB=|r+rzhN^{vU%%|Vzc}`@kne;~Ddb^YFC!w@b zNYwq)iL<{!&^W4@wz;mx^5@1zqWqzr?KKk0R)eAyaUyBH$bO1}7>_pTMfgiStQg7U zYbdpeaK9O)82c;+!hqZ${u|Y|&3MY6@S>-{h}U8c>(2?CzC5(*0 ze~a}(lvS{v)VOhKJYxTj{zW`X+D1qrra!M+`n_S`?P_iQ0Vq-Qc1xKvW>2>gZBJsD zd2<)YM#A%8EoVY))J{?QDNSm*A$8$-VbjFAM8Nxf>z-L|W%;jXJ#}8FR!JH$`1v3- z?G&q#9`~UQD^U5~6Nt4388#>^(lPbDCJ4M>77uw>5%z&%^h2;rnj9vu>Fw8q-%(24 zZ^FA40Z5S;M>TWNr1&QjeU{mh8QUhAH@m?72TsY};26Ob2Qmo6L1gJyRCITaO0s8E zi_zb~oCYL?NZFycH9?gQJ3wBpk!)|No|TKgE{xwq7}MSc`W}vR*fE({!qjseE(2^7 zxSI+zT@Vi9;IdTtLuKc}CeHa^u}A>oZm>G;5W5ae^chi2-xdc%z@?sl=^-~>061z5 zeZP6yYZU8_@qX!Y#Yiktw{5r{9IXidiFsGs`~cJr?{mJ9hSW55g#P{*+IgY(${Lf5 z{}$gGPkH+amf`wvXY0Ylq*c~&8vgr(IuWYyqX?N7YKj+$!>a~E8@p9}Vv~O2xXNJ~ z5#;8~!}X$(P`|yg=SB8OzX7L5oemEC9_%GF&@)}qfNqi5Lr@1>wh#P0qy7@hhWze+ zKXK0mPTs`Un^y%!b_L#V?FY?>_SLPd9BmcXGuw$qi~DYlS;+%FyTT)UrZo1_2Q@>;g84yFCMqq(|a>w|5OLHASAAL=3QF_kYcq-O) zz`I%rZn|de(0*AYTO>pWYc zp3=snsUg9=EOXM!1)P&4jn`!{_CoK;qZLu%H${+IbC_}B<6`b$L`Bb0((fx{F)> zM45y=xN_vk{W(n}eNvl)a}h1-M~tY;UzIogG?eTzceD}fIysqxha<7y zBC@%;Ncxw7=49z7oUpWm@jRlQ-iZ-cdzZ$hUlS{zLO;oA$x96I)&>V3+E}}ujRR`@ zzhWYGEmsnYK3hgQdSiT$>S8MhAZI&X?;8Q>)q2_UbDTQ%C&*wcrhQheC`^)g1O+CD zZ2$R-MsE=nCDNn{_Cn%?1?SdUQ=VG0of49CJw4tn3R>f-Za>8D8iIEd)@BWM`j!P@ zP8k!%TM3Ftid(WjW$|4u8cE?jNBIFhWtm9@`Zf2`v$HoW!Su=k$JXHiFG9zAR_IP9{u9?ZG4rd^|#;)wPft z<^Rh(Mw>4W@08|@D)bdbGb-b?%F6pi`sVOLI!DXKoRYc>*lB7ST^V_n8uJS@$stul zXwDf$`dV~;N<{5aM`*v&XgE(Iw(T3+ zS`bH@^u7f*dMTAOeG!DTsASCW96$ZN_C)NfO>ymRsl1ps3mkn?z<~(lbFg4XPo*%T{Aosp#w}8Ys>lbFGDk>0+%$|~PSXPzi#zToYtP_=s*S#{u^uRLr4U0$o zev!GZEff@B`t4~n7rO?yVgZc=bi04mS8YlS6u~tDC*vvmwX6I6bqst9MvRYr;bE@# zqG+2KPKiL5m1`4rnFUsH_1A7yI$J>)RzhGHg5 z`e%I9PxRx4uRW-Tv(q-w7|$;VRt8cfCGe#*Zzg6{gjc}WyMobl!wi~;@J0+(;Z21x zd+3-i)+#<-J)uRSH(=uYLm8Q{VD2(WIPK8EUJ(1*@9D4VP#QKlZW$YW1Umur&hrFe11OHf0CxAwCe|n8@qGbnvXNbJb6n=K^*kFa&bwwT) zHnNa7?-c+_TApjW1Du+@21I(-)ZJiXj2`(1?+^}dA3va5&B_kcv12|5Mt7TUT$#Xr zJild`AY&|=dD~5v+MN?-)?=q^9Jz^8Q%Jfh25HfS&mjhJMr@c2mg2>GTgNz5d=^*m zLA>p5`@6v*crj$X_QHGH8TY8rc+a37B>Q)CW!Lwm1v;F+kN76T*zy{weEk~e2i?5x zi1B%cb59`lCZnuAfnudjp%#bz0}y-+`C+pawh!^AZ?E^VXY{PYL|P^9S*wcj3h)fj z31ghz^@R2ldbM;ViDRwRyINBsu&?PWdSrwlK0G5XkCRx#OJ>=2smtaVYQxqqO7N8c ziS|WZf7!E^V89cfYS0<+7_Cr*#M21v=HYYR;xOS9!xnNu=yHQ@ef(hTWOQ;f=-c^il82@pwc+0@ zu;2_NMu(noD`PjMcw|}ltZy6mz2LMs06zq-fPAqEK-KCQ%RP2tV-=Se0n{@HOaru0m|V9#0i8K(3p5 z-l^^kt^iE4jytO{YVqvAIgQ&z0|Saq)vnV9_{zLPJ74K}ienbycgGU!4=v+7Nk_XS zonh)si3HmAwMA~Q{#otvqEn496fz`dqr;bQmFPnwl-?$;QW~- zfXxG-w1tle;4f5vA6(XPV*$ZwSAK73_?Ua?Cx;z!E|)@^8st+`e}#IdT)+Qll#-r( zb0WZ8(c3ms>kF1;LxD1!{$j$7FUXZG?_PO%j?GA&x@BapC>+)F?j)m@yti2~-0Xr& zMEeF?;eCw3dI{jGoCjd%43z-b;r2LN@4}6@(&yMxBV%e zlSoCU{$6Hd13vW|DLf3T_m}#Z{!^XdWEU7xloOIM@Lq#~h{W*y9FdnM7 zhJ#+Xf#^VR#g8FPLJ6%y2#8}ut$7vG+3(`o31-^f7@=11M0_RCcBXPayLPg*5cs^n z9rO+N>$t##nNTDokJJ01i-8Y?ecf2f-h)aWZ(IaFpUQY! zSH=aHm`lzfY$%9IerLlAuJ2mD((p+jam zJw!d1B)N>-*AzPG4S|e!@(y#cD5l+D=wp`rj{tYYk{^hI9cFS%@vFGs{an+^3SqS z%XoTOuGDdGxM}Vcd<$uFrM3s@rZCDOz~zeOqB@y(8)10jT|zcZwdh7ox>{kR zJJS@_9w~Rvak$V;Khc*$NIE^#6+2fD*K&+YP>5mr)zX+T*%mwXjPThhwtk7(v$#gu zCC^d_B4lz{-z(CQe2{9B7S)=m5)=Z{X`p!Hv?=KEM39e4<@MlfA+==55Jy zY}f0cJ3u}6I#E?1H`u!%buOLYEY=$mnn=gI!Xn2-4XShFS%R=Ik9vl7c^TdaQ$~O` z`nQxYynec3B}okv7F!kKQkai6=fpegNfKFb#Qc7#!@7FDcQX z%jWz(pA(X@|2ZQrSF6RIS+2c@bb?}8F*J{`AekE4e5af}_lp2UgJB7wQ6{9n!uc2P zl%(H@t13cN2FuNMhmhrwv5A>$h{KjA2D!lU1H-T4=}JlJ*VDDbFPldzXJTGg1CA;3 zHB&vaXe4PF;(M6w?)AzjKLjTgu|IY%v z*V3uX3bW7A8;?rur`+Mz%EuIMEh=HC*l6pcqzF~t!Rt#t3HC-V7HKjqaaUSNI%=Yi zS!w_-ZWxREd}S}OJNq|vWpMitE&mt2gV_fY34R4w+#ly&4HIzhmR(rg?-9V8@k|M~ zI1#kB(|gc&JxVraam+KU>y4+PJnIU6{o$5G9FVk5C6Wx~&eV?+IGy|(X(chEEO9S= zec8e)PJhl0SQSVon4#37JLwa@#W26&9siAWrly z*1b?uqSlG5#H~e?8c8c_EmCg&;#sXAz*n@D@|;jGvk`7faLJwjQLgoK&3wk@X=tJ_ zxI&;E7YeZkEANx9GHi8T3< zV=r(8GE;;vu|?XwOsn(58*DUJCjDWKQzy^7{sM9Cu$e(MWR9oBUi2N_}9SrQ~T1^wfTe+F+|a@Jn{)E=f2**MY*9-Ho60Vq8TTv z0AjdxQg|A|26R{_d$>LOwfIQ3V_tm=0MtC35;x> zJ++rz!nAEFj$bNa5-tf?jhW~pn%}9R2eAwMpz>^;b11|J!Si5IdW*=5UQ+Av6*G1L zqIywL%I6zv(oH!xdNYWKK#X|cmt&1AEA*Mdstj%DT6Z7o~BI->wLH()qjgz|;vt_}J<(G24G|m}ab} zIqUITrXYvK@m!#?x+yYKviC&D?rT#pnj&vbzwEmRDWgP?C|o%MI7EUjH_@D-O7(0r z(AHygI%YzNj7@4gSi)pd{1h!WGvO=jt&jmSawO>PJW21JH>)qzadGRuqkX`b^({l{ z^vUJ>rTZVtbLOd^~13&by$Ko9w?WJB!@_rl#Fl(rCHiG8h14Vg##k{2dXs8#S9k=R< z3XI^TQ_DuJ$W7A?%Q8Z9@l$jO>sm+UNTjmpXMc^ej=RTec-$M_9-m+As}}OY8oV%) zF91ZSf2a`NdF0nn*5UOUQ5bF3JhtFEv*_(qs~%!D$&o+Tg%D-%jAC}3N%=-A0_RbKNKMRMy^p)?#v26I5_)&eUwX8?c2$> z8|?!3?fm7wvs5~T7#G2^P5K^x9(?g{Y_P0G0UPeyvM)S%h!^p$wO^lH|AZE8=`$k5 z_?Ex=z`SB>Q!$}zfv=hbkZeUQu+V{TPg<~vNKO42StCnh<7QbIpXff(!rHCM?h0WB z)?nKARp8@0*~mJTg{2vZe$Nlv;ozTG?_!TckpQ(ewnpAKvLT__yl{{pzrr4LX-AdB z7b6Tf=Ec#P(f+nkN5Hkp7K>`LE}n=hK|22BIebi45lJ<8w0jD2S5v$x`1p6O^}RB= zKhY(-Z)ujMd;-_Jwr&hoT$ac|b2vV}nsP zHQ^5S6AOH)C^h(>*`?^5`|wZWI`yE{^Gc8?w))ML$P#s)b6vOaL+d_^NxClw*ndaW z>KU##w*Mkn@QcxqE4p9IsuWC}0BuvN%N_IJI>;^8r%9`=kOz)|1UAvnv`}foenADb z2pZb0#;aS{MR0Qr> zdO%zZ3WzZxAb~Z{%^fwiQ+*a&@b11h*Z(a==%*D>vrDvs9K_nqOM+=WkX1Y_r`y7% zRQSNpXCxAWT(>+;__UFske->2EYZG&N0#ky%}GOLL)P zCV9fkYOFyWn+UE@)j~HIKhckeMvG*UhpOA=r@$Vb$kN$0R*`Dr^IHL2sx!#!uK9J1 zgCTj%ydcz8+M&aE$Nc&Jw=48Ped9cp(D19Qey&6Q%dA=E;{B_Tqyv9xDoYr(M5 zBX>RD-?goSu4(aIv26OcS7v|o(VbE;a3w)%BhBStm;~@T4DDPJv_deAn?1>(cSU{8 zrLG$$&HBr=j1N#ndbj&bo!o3UNY`J*Jh>uTo-a^%@^4ejoHJQAOvkJ!s3ySmK1PS3P6#jHQoHR5T|4`|yRX3Kh zs^}N`L)LgVRPFUgcn2q^SjlYbZ7O^n2y4QPggyo0$+iNP)r2*&SaSCaxI)1QlQj$2+@2=H9SdOxLH`biPKM5!L4T zPV~0hGRSp13yMWV^G(39L#$zVz~bt1=gW0n)yCkd?*OH3zK;Aq)o*N6b^YrmS0v<4 z%H)H@Wj2h&MOW8Rz01!TIVWoM*;OJkZD)hhfW3pqmQO*_qpqS@Nc7+uw(}8eE`oW+ z+A~e|4HAV#kcoKVJKI0YZm=8hliD~{@Esh3HMxz3Lx9^TDYh1FrYh{prmPjk#~*9)Snjt7RFpB9wA`br%nqg%&wm%Yz=*;6z5dZVEooj0bd}CH zZ5wy-*}xrwci@@Wx3?OX%_96jKueEDNB3dg`1>Ec3qeCHVZ1SPrw;+*C*EdGN+BZn zlf2Xj=6fS4t(6$2eOAn!Xs?2x#gg_4Osr>WV#pLGJL1y5phEK8f0iNWU2Oa3Cl2n; z&x4-8^WpIQ_P%1`y;qj-e{jpjbPs%zVYq{EN63WRfj5RdkV7-!L>0g8bmzEyoGkCy z!Nd6}t~JsMYqWcw^HEV3cl=a;j8p}x?`p3$RqB6yzTuudwyl&~2N9HxmH89iVTCsD|G!h#+6LFw2}giQOhYEQ+? z{P%*pYGT7d(1%HcKe*P|5GNo{<@_4| zH~Vg*dy)=edmD8A*=WHn1~i7}ej!lmKt>Q(3Eo(>QE7yqWQBeTYB-1f zZ};|G@T2eTkCfIgeJqCI9Z&)SwN*qVT0%guHEwOYtns1^UyJHLJ-$d2D1H|;m0 zMGbuf2f&v8?YfsA3HYL9*J36tf|>WfDz;Yo z`@U9i!7YLt6UAH=YAf>XyW*xlxEuu8jhQi)j^h5+-)?eb3iA%-)66uC1Dlfn+H*Sz z0~^77{cpMWS7h`mDux@>dKTk;YYCpgdFL)pidQF<)7W&$6GdD_;l3GyRDws^68vW! z@fI?PZPtWkaEe%1S&4F+-SGG*#FeJ>-#nijGfhW@z*(|3D+H-yKa0JM_y>;3^|09! zJ26}_pFnUFf|7luM>JxYDsiezP;4X`QGBgpYWsqJ8uPiS+ZC``&}ZK#hL%xm69w+J zLdc6Z_lp(L*Z&>T8#Du|7YEpy+~af<)GT`RZPi3}{P5`RF~Ka|>%xXIRf%%x_~tz2 z<;tPF(toOl|G@6@R%|op#4;_d12@q(XF)V11;QW|z+%ONOk5jsh+L47ka}VyP_4-h zGw1Qxnpai@RR*PFjZenT#3;4F-+_RC5<4Q|eUQj~5Q0SbVhf2@TwH8E$7DdZBDutx zq#kEP@h9)d_V4LAri|KxCt0!};CqSvCi{~&wJ@LWHxzb?xZ*`wZ?5{PkmB5Q&YJs{ z?ga*JCv~f@Z;C}OImM#E`D+Ljeb*;FD+w)x$xo0C_C99O8S*~S=Lh!n(ibC6Klh=-9sy{0ETdKx9Hv^W~PMFI$kkaYs%wR*MJ^FeOMGkP2TogWug zXIgpnMzkElpf(>8qeeSllhh0-4hzxWK)l;H%ZJp5wo&E^GiPSBj{n@W&xAeH#^nK7 z;{7`>3bs6M&(V^yhyiLdH!PUfe*e`PDjoghKj~Em%bD4fgjxM4@Ne&#L9&;xH-i;J z11!SHDLS*CBvPK&q3vxDxPya;r9v%&V6S~YLqeR$ML0BZq(AxMkUHEHI1jq3TC5N3 zYRaKf(#qfK`!|kRMkRO5L4fTylN9a8z4+2z?lolCWV`g>!%T#l5^gC`Uf94n|K|OVW@7O7!psgu~?GnH)0HBS& zO*4n+=;(s?!Jwo0srDal0q^HT_>TMSY36uWozOXA`&{dJvd|lPhgl>F=$b4jO0@`Q zObDkQugn!LIYRQ>&BH!_U(53?(Q7`6X*IEtr%HWf*p8pc_TU)t^^fiAD^G#-CyC6DfBukyEbe>Ue^ZHy!xXj>2_gOCgud6_(5gPW=k+ zPz%UZ19Xj2PRz3j;HE4Yz9-L+U6r!o?S_ZUGS)}|i=#NDZAewjI%HS-URvh)OA4x} ztn@yvKjp)`8vZ4^+@Kc(g%*Otwqi`}}bce%Q0>mx4Z@L4u_KgYWd>}`3%pO8SG z{I_FjYw^2>SlDwLhik(nu-Wf~oUf%qBxi1R8E*T71!s3e?+^zY!g7S}{GV3sZYS$t z4RtBL<@SHd*TQ^*mpGVO@M5YQf*#*Vm{FkqO;#zt9MYh&oJEH_oe_ox~7)Hs}RzJ4KtjYd+;0 zvKJtAmb+&Gy5p!6YVl}mc+6bMc77HjU=?CMNd6}KBXsEKUJXzcKs`mkJ_QSwD(rac z8`|l=co@QH6I{Ol+Gczl7$_(L||smE9PoJy6kdXo9RwhK|@%jHrn+{tOqW2>qpic86fyhzzsQSYe8&2LF6~ zF?_pn!|(+66e}mmqVV(sJvu`3;6OLihX*{>a~>_*1Bityr_;bz4&Xyh!@e*u8J1B1 zfWm5E=7igW>BELdVg}^SVwQVodx1n~>dtr*A8B|m9H(rdu^luLZA)zazMP|; z%1F;f4jN)Ut!RTj@JKBbj-#WrTwj<=%o|`A^hQ8=St2!vyH}Y>JNHpz6 z1GE3xb!SO9GMt9N_!y;yuF7fM{en$o7%}on$WNQRa)m!0=GJ!TW_BtD7m_GAiWa~h zP~%5@TW~yBKi=ouiXSkvxT+mZk}Ivn|FngEZM zzD=OG!}{j*K#me5a!RI&tDbXRwE^=6J8s_3R&5@aITaHBRoXF)>Oo{2FGb;9(HkMn z?pRuHOa@)^0#wkYK&#soEA|_p7AaC>_bjd7{S(Q&$@ ziKt6eH*du})p8}BLM?VaN@53fE8JlJr9WeN%lPNOdeD5<_u#{o4JUfO=mEzT{=5L( z;ySF@Qs)z51%W($`*hlu)uX+i(Gj{AEGsJl3wqQk8W;&eE4)H8V11Vjn@5|?>(Ck8 zW5YA{43CnS-!(J!xq$hA`x=;wVioSLJnM%LievNSud{zZ^4eU;8S7Pd_WiUPhvgRY zg{^t|oX@9+d=419*)DPWr>ff0?R8tvy?jBxIoasl5#_ji0pI8LqOj^)pGG{LLiPIj z*l~l?&y=p~-2H1ftuuuR)fWLRs^52E~n+ z?tr-^@KF4*u5Hsk;m2%q7yZzs%^>gR_pu4TBaNyV1%@VV`Ohw_j1f}kPB8A#ezxbf z#QkJ=lkI05o}DJrs5&5BUxoTi)I2=! z_jG30{3BRWE6-ua(G`kFhw^i+c%YAp)&Y011s%@%QtC0VdHj!rG+#m41ocO&Q)RR5gX0XMFua^}c!Tb719F4;U z9l=8PYjax+K(UscN|3@@v3A%=X)o*T+>B9CMBy1CcQGw3BhY8V-5~Ti){Pa3J`s}?n+PU0%E|G#A z(7;1!d@@hf363t9<1Aj4%V#Qy7UKZb#5Ob8@#g?^U+>m4BN}E!`d-fc z@X&wFLWA);PV$Axw-w0$sjE|{Rzx)o=M$Uxo1Z+k2m{*5tR_5kXxJf#F5lw*Z2JQ8 zm#gR%%=xHaXwW6#*@NQAj{7R9mcCOqlDOG2u3QLl)7wx|GoQGGjPaCV-x^&JehQLdK#>$KgnD6{|BGhovoS2m`cw?O0rNr4=u~dvfOWjA6CZ7OW*ao@4HN} zub2sGZ`~Gv*GCn3IjPJlbvH6PmPfw<))Iq)v&j2v(Dk_514{REO-#5?-)-ssY!U2L zMC#{Z?*_+hKKncZ(2M)c2Rpz!qEWoJQOMrznRrH0c z<~GU6AKmHsM1RnV`f#Ucp zyv>pqd)#dk5aOSf7K~uTm$SSlq*+r8&~bGi&Q~}+YEe5SWx8uLp4#H$ktOr=3^t0L zoFNILH&{pU3vw0x%(Z!i>uHo@|4-x>cO3-BnmW&UoEW#tEV`q$NYMtVGN)&6oiAsuBDjq{mw19!RxJ`rO0m&Tf` z?BVXciw`!2U1y_1{pWt;*~6(DAL^v?4i8B}!Jv?{Z~PfVs=s&4TeKs*9G*NZL-2^U^v~HU_69Ig^w@nvpoZ&ZlG#4@WOD(oYT9mabKJ zWuOFYGX_RPO`qyCi?Yy{4d${opTL%l@03fhJTXkwQ3_rd(;1VN9y0x+)G3)mBk>JR zS>SVv0;EAT@9`wwuuL*Uu1Ew)?v4>G^7}E>8+f3bHnGXLI3v_~&4xJjqAQ%?5BA%Jy zS9nX3iqP-vXwHuVLb@$z&huj5YUrCmsA`OF_GD75NCr?r)2* zhNYHyRb)7id+%IAOc)}Rr`Y&47aB)g!*>7N_9E_JktulGQ-N3li?ELiYh zRzP9akj-7O%+zC=iX%mUT%#twbY`{r;o8%9Ee#uGf$xOk%}-9j)guzDzMiC7=%RRcV`h=g)RgJWhDuE{XC- zu){C4q*HUB&p$g&Aw1r^Y>@h`yS4=y-#UrJNWL6jLJpUub4v)$F+n?+ZJ-Dao~B5l z{D(fa@#cuOiL=5By%FAAQMX|(Dp&72Isw|*31bL(l8RfRl7m#nUB&7HYk6hWZ$H`A zI(5<>BPGjQ+lYzx!S^zAgzXO;RjYLGMo|{Rr5hRD%>_sc+{LbtN1Al{`u0|?Igg2J zN-Ao&=MVRO@*4=a4%{j}!?-Q|fZ( zKq+aJ6Od?Kso2Bg*8aU=xH}?TMJ_;JBLtD+&*&qm^9Oe}12bAEbf+bblRoKysTwhQ zA%xUP#f){u+@^E^uXvwVhJXogrK3fiK+tYqi{OMJ-|qF6w2PcDzVC+@dcVcnS+68` znVmVq#!chz3s$h_bi%9eHS%A5=Xrzw&~qK*3+Y!wJGsrJUyBl?`Kf-k=KiFcJd#n^ z5U_P%=pEE(wWx$&>zHp(Tsq6I$EKwG>Yy8=p31F2R#(;HL&hfRG~v}Fb%DA+Ev0>c ztZ&2{C45!QNdwOm$JdUWQ~p+%Lr4XYGE^u7~Rw|Ia%K}7eTd~D-pUj0h56n+e|>NrN0$v&1>2v;K# zlyx+F8ygPTlFAgKAte!*V5#r8d7p^tA8Rit+KTb0gKCt}5P;_CcDl`#6z$c@{S(aA zzWkf2-lm0Q19m%Ee(Ti)g6#BGaQY2Q{@4Q{pq^tw1^?!@w4SlAd6~2ih`DSyXMCYi zu#~g^mXSCadL*rhOA(8As8UbAP>%h=h$E))i=m^w!{*nwc3aCQt0+FAyZ8WAw_0U% zw=Z$XA^Q&71opqQgDi?xu|i>!CJvGH@m1SZ#55AN~xH7|Gr0SJ%+ zMTdEu4WWf8CuvlFfiml5-@yZDSrGu(#pt%j48E%8Q^r6{*}YA?w*8NWhDLWcyS}mD zQxbEqh65WOKw~-Y57SNk>>^{jl#N9I{TC}9Yr9qO@vn{XYa6TQ9=$l+so9lT>Ybx7dMWv=(knkHN2X*Y#XR;>K{hVOy*q{u#mA|UT!-$oV;`nC-wI79Vs$hM5};Bzv&BRO4f zY~v3CBwmJ%r-)*aguC8&fp&%s4A7~s84)nb15B-3F62!dj2z6N&(xlYJC(ra`t7}O zQ+<3t^!(NE^3n-{7!XSVT6e|aUb1GN^kMz#^3IeAatBu{3s3+etj&87|KMs7E1-(^j`S@E?<1_Qa0^a z&+#z)_5-~D0Oa?4jaC_G`RTvi`ut=%Fc7-Q>IZBpjHQoTGPIH&wX4vI=S9s-( zn&)uQw)VcJuiES1BLg1R9itrgPp;5Mhu$pPz0%3thqGXgWh^H@np#7C_|u$Iu)8uaUH!y3uG_$vs_MoWTt*?76>X{$NJ(l*8ROYw>hwmUwPgcWffyPo6i z%jKPBTt(8=s($_vI2j!~nefG(Ma%HwgZ?{crw)(J{jcoExs1KDM7&Ehi$HD>ffL?a z3d>wqns&I!N}$hm-Gk2JxTQz8LKPtvNX0R}TWig?Tt4 zF0I(!a5R8V*-mQ+B(j;={}ByF>|=hhR5**Kz&^BG2=~qi;qX|7w37h6#(-~DAJH^ia-lOm|{iANGtHn^za6*fFdH}j0~F# z%1n)-os0Qyg*i-2j$02q{XU2i*^3ql3P1zCL2C3XXQP-(9|Mo5DM*YYu07ZF0T3*7 zSbl-%v=NvCv)6(DuudeuU@ICRu~JNqW=hjBdBke?Yq>j=Im5 zNXgmet%|Ny#4YRJX&r@Q0~41fE)WwTe#PG*&(#&UN7&T901-yBM7 zHBbQSiP%}@zWuuU*qgx+PP!+FEdr{lZ_c z29&qlHTS3VSXP!R$lq78ZQRFL3rE(Tk>_ur-hm@nIF)K>*e)cB+ge^VLAGgvB$(8_mXFSi1AUzDmibE|ay6PihW6 zRe{WF8BOJF?2A88^!fbZA#36}1Ik<91cY2d_mNy&>>`>0p&RhjPb~S4eP?a!e;Hc9 zo3jkFz17n^RFvHs@@Km3k=L#Opssnk2Xa)K((+0Y%{nH=%;oEwGUvsJ$3ZivYM}PJ9tDFz+|{=(=H}PqG3NBKgQ!`J5( zSV>7ZqNeABp|CT_QZlW)R3HTEX$SNps}!dOlEN!j*Gn{tDgIZDw%Ka3Vf9+9;fgE z`4>9KdCW*d-=n)G2^S5IVI+>3li8UEOja?_ir)=u@$Whr?meegl(7y{nv^21eJJ5{ zmVvLzTh$fbd1KiXs2kq*v>uR-5}Apfek}L|?Sgq9rdc}p?rJexLL&~$J%@ky{^d<< zPzMO@75L!H$Ks{61nY#WP?@szU7}JV)Zy{&2V{&i-IVrMHfr})*j6%4#vOsmD@+8r z0sb~^{8xkG5l{)f?}g51e9>u~5~@w>`;K#TuHw8kJSa4T%DBTL zI$mgw>@4ds0&;7|=uvPLwWT<8Ixy*bX))bUh(N&sI=B^YumdEWFNP*9pi~ncgv{CX z8aas;gv3Ncu4ehs0g?L=dj&Gek0Q88KCE)1aQm-ndV-XXgjUBpAuPcYqIPUu3t5~j z`x_#acCa~aqH)xZDPpi-dVVcpz^HIuIBxCh@rMJnery-@UjSvsnuPhVOz?m&09gF; zhPnI8jO2G}5J?sZWdEp&-z3;>^7s$lidNIsgHBD%JQCM`IkRbfp&-li($uHAkScy- zE!+pG#f^Y~p0X%wz>Nl6D4<%g8F?CpOEJ8VQRAS80F(xZd2G(nHSnHJs4 zM=VXM@s1*Qi1Xv+u-isjx4j1iMX6^o@x+#PI9Vv0#gB?##s=S48X z;IwVM&1 zq=dX24KCc%dD<2N#PLv0Z40E8~nkR3JO|DpvxPEM-Ja!@=uHQDcVxnPJBqIbP<8)w|sDrl-$ zsznpZ%x1Zn#|ngr^f{qi7R1z5932kR-tnZ#`8vV)Gped{^{RJ~kAMkgyD9wxnHckeF(ommkZ}ZmM^fK8!)fpxalRy zYql-svswBCDT6tM!&Gy8Jy+9NCmx=FhF`Q#um{9rF)NJz@o;LN(3ACI1IVl_N9@)c zGSsn7a(i!wE;{O^XW`|_X=d&^h%#FISc$vVQQ0y?+bTjHqI*q4;vH)g-amZJP|NcB z^{6KO6*UPy5{M*U!!U#Zy^%(MU`hps!wh3CAeni)Wg5**AMkcI*wHd}oRtelA-O+a z;d!3!SU|>CkrMFL$xenI(6Oz85}G8l;9Tc z8xvLBdy9yUA7gM9;)qC2Tne#jnvkqt5Cz!}AHBc5|Bp5@G%o2N8KlpTOEqrp(Qlo} zP+|6bXQX`s*?iMYq%FB`$#1M{>^}6nJOiCUeN6+bDIn`H=>(DvzgL=ZV_w01)*-VJ zP9$I$X{TnYwlY_-534AQrMZ|ttDal9Zm(YU%bh73vxWs7-kNqtNGij=m5G7g zg@UC79`tl5+lVvM99cSIzszHFzitPJeKR4`-V{l)WsVcdp5_8vZ=}<8cclchA9DG%N2 ziId-|RL)gmT&}y{D`h;4W0$jif_A9lLnUi0a^lVjQmRCdS=99`5;6)So@ z*@~jEUiDsfJ#Lj}>q6z5;=@jzhW}`>O@XY?4(5n>YA+tcNNW-u)TRyI4?h^@Klyaa z?0vJb0_f-+pdder3SToHMCNlrAbW$aM`2euC-Iec1y=&k$4EvfZuFUvWx0VIbt_rj zWut1LZYvo#WZ(lzTw`6xWgr2>$uTQ)lpQ~*P9`@Gx0g^5V4~S#*7A@mSIgAc>=w?4J4S|3XNwVar6n-HA zl;yzfDt1%4lPVieYqPqh-zcLl+B#XnRUBSr{Gly0Gz<}cS`0Uy7X9_AIWK%&zDB~@ zIox*N_nWf$+37TUBqWWH<#ttfT~dMo8W!jtqrPBL_$pgo^|WPqW4~+xc-oOVRuJq; zb>quL_OPo5SY)Xcrmk$cZOX^t_1ScmUspNry%pmE>k6^(Jym-+CueAQSVzN_jeY9vS2v&_vGJWM)# zVfi;1WuNxDVB18hQqcx924Z4v$2@)*ee@OZ6Pi#%HIUK%aZ3SS(Y-DjjlvbUq}BS+ zR(xuinsgEAb|JozL`v>}SXy4#xUqw&dh(wZ3q0lY>~wi{92|K$+2GyI$q_mrINEEF zrn+5maj^H(Q;26m{Idi*9(C*e%C#{0G^tHN((9z4IANxaT4o*H@a|-MI$Gq~EHfa~ z8J2XoT3$Rg$tNqQJF3pxm&UOlA z#J^$^C>aQMKFhwbu+V5pFE*A>_LaK`w&8D1zsR*Au2glv54530GJ@SCJOImQ}i!*${u0bDGEGIf2Z{uYljc8zZ%5b z&n-#X&g0k$h3Qc}c=eJmZ=Oe>UzKNa%eI+29+0J8$floy}&3b8Z#qm$?2PiU=KBF3KOj(lx1-Q9>UQ-Z|!7q5EEa>zj8=5^?gqs4HN2S zk}IK-8`sSgoMw)Qj9x+Yb-8s^)O=I^dz&YOa_9pjuHyI^#7#(R!H|vOY{Q5xmhpjp zsN4F4GFdq=e(!yc-Laji%hyEzs2d6Q$iygW0Ak(%GV|L_n`q9q$|Y_AlUqtGt<5F^ zn(t2I+t04Z9(oAIPqE@Ht-9xtI2FmU$BS{LMVZvrEcn%u$8%&*gZ(%@RWBnEcW>7r zsN<2Fsl0QS>Iq>Kn%PbIpx72JJTVW~7w$4sq7!_d@Y}@=S z>W=i&WO(D)JvvpAZ|kCBg*(5%3EyNrrVWSC=M!a+>&yTUDtAeZ`_8fEZZxgr+Q{-| zw1~S;J>$U+5QjPEXP*!1h&2FIDjuY6#XpPWqtP>_&M z&B{0oKZUYIJ{~`!yb`Gm#40B0_`%|QY9u;uw66J4J>hlm^N(QRbAbtMJpKagA09zg zPBs;y@)zc*udpLV=dk-nlPd4Kkx>)8*|CiOqR2$>eSbB3jO4o-`UqR+UU(pu3_jwU zI?@5NsoyK{jG#nx*}*Js+f#1}X)APc(LJ1~t5!YrFSPEgN-I7?qRKOb--(M6*$ZQZ z@{Ngn^^)kIx8);C+Nv8wW3lCzt9r1xw&H8>N3gZXI#sLv@r=CC*^mqq&lRePc>%(Y zkJY8sUo!l-h^i~3NL`f_3!#yFsNl$X8Gko?cK_?zMM3N}$?7@n40$^8Xk5jFc3|<+ z*k@&O@~h zVcCiwFg_l4neis5t7!X@6u47ZDDNCrv?U$%{K<~45~9zCGe9kDhAppdOwZ~Y7#+60 z@}{6aF=wh#=xYf|9ByDUb4(NVrdcf>@2|W*4WJ0kE}wjdkM5}h|1Ka!4s=cm6?GqimCjTH61V^YJe zw)QK|2V@nqXSimcTc8RtVqAxGEw#l!hx`}rkGREaScBrfq@&YlTisjc5uA$=)c8v$ zM|^U!(>r^#i@t)$(@J~hc_(e&H|R+x$6vGjWOzG+HW=POS_k*p6ll^HE?y!()nEw- z7<-)cC!mQz3=)4m|GF*O>D#V6Xah?#@N$2Ze&+RU zPVwFCd|qZxvEQ>N7ZR18vLuW<$O>^TF?!@@_9e*nfPk zLACVkx|Av#lm~&i1$@*f1Cyt8y>kz>sK_7#&QkE889tVN2JP~0^b@C#zVWv{ z)A)<^OL3tZaWr-HTIml)ZSx=Ix3!MCrtRLBOncttqFmMeS}o$@PU$)AABO%F*V^nt zrP@`SE}H3;tp8a+ob`~MbLQc!tYu#+%H|D&urC{Q)}R&vE=fKqNaO7-s7R(ASUAdp z+6e)?+Kox4oU+?Q^S({1w2LGM72XSd(;#`fp4_f(a-L`F{a##PD~K=J3bgaP)n<#Y z0}fE1IKX=h0KE;YI0oSC>bqrDATQQ4_DC@HRgCWccZkeHt8Cg`3EHLm?eKF!a1tdN zGSVl;oa`Of{vOgFe2*9_#1!o={5>vS1}rxL^1OvXjNxdqKmh}u*tyfoKi=osS!wUu zki5rpw6&5=C*iyuS`0 zMsHWB^O)XI2Laj)=(fOuy7LFi#Nt7bz+^OXb^fo_YBJflVL>>;4{&i<2(T2^BkIN_ zJA2RMWSrD~_(SoWzJ8ZN9D1IpbNu>-c7$DTmIJU+4G7O>`c-o*hG?_Z!24ec#uGn= z*UUWMZ~BuhK8fsLjcs24w=c&)%_RbLfJbZleZOtEz!MOo;Md~e$l7QLO2N91>V0hP zA3!_=CO($?qA!K`FV-%cKifugXSX{%m5T~-0Y4tgoUmE1kJSO}tE?3>A-r1gWKjo1 z93CmNdlnC1F*DgMl_h-i5?@i*3vbyf+T>vd(yHFjtZbHcwrWj*!%sa(`KiX_PKzTt zHfxn`XTqj#cn>>Ccxg(kiwCB;D1t}Vpbx>PecyJc2fr@W-t_JjT5fz`PT|fw$#uD@t=DiA0<6|G@vPY=co81A^B(Z;pIX%?s1L{EmE(Hs z{5-@RI{|OtzZE$EjxOQt2AAM#&o7_?{lpo+J7)y+$wFP+*NapT{a5u@vvg_~Ka{e4 zq5AG_0?-|H64e2^9L~ZVhPm^Ogq)ofAz^(Oaa#_DhJ)U3ww%ElR&boiNu5fh> zQd6?9uco-+P1f+E{9!9&PNIvrSn-=sHC+P8A!<^QDXAK*l@?g09wD8u zCh1X>nD{#bo05lIyNBAqnU?$a;2dHy#iq_ts69evUTjY*tl3oC!aPE3)m6){yoKC5 zZz=|5KjVV*{lrbK_vnguh12oXLRJ67ZLUyWtE&%SPUv)% z<&SEnjfArXPrCFg{h!-jTpw3X{ohpyJw$Toq-ilOYi{&>Q&u4};6sMuFtHu~QlKcz zh_0A4)U?@j`5d50h30ejsPO4wyGGX=L!9XWNy6dc__l@lJ!E#jR>5MkHskBA_se@O zE-n)kBN2bhs%%laiz7_m(PL)qS+N^YnVI=C@VS+s?tdIRWFHi1K=3u&?A^k5Vsr0qm!CSxS z%N*`#qhgy0jLq#a9{ud2ICk$boH}s|P1E9g-~USt>VdUFP@34mS2eR#ovAr8)@*a zT!jG;u3THkYnLzL*wGb$$rBwcwoI2(j<+}P+U1K$pGLf;!nKr~D}5JRzRJ>`i(kKh zhwlG*S<0`z3j@RX5$^oq5$?JB2+SB%goDEoKJl|BQxZ?E-}_gq_^ATX8=9l>jt zS2Le&VQw)fU@<1+?MZL{hT>fZyrDB}OV2?OVK>YSMBw)mlbw0-89e@pC(L&NfQ_v& zE?!>k#aqk!D~ZX1J_pziSE#HcgxGVpm`vz??BOSI>;uQKxjn`+U-+!=ZC%7ZTMfmn5-Y~C_@Eh_RC+Vm@L*46M?tJ zJN9u{Oa}O%t_~oy9PloEbat-XDkh5@p^TS0Lp$_=0_QRrsqeQvev;ZoHlC#>5!{|~ ze3G(v-^%sYXX0^DsY`U{mdxfT8fc0oWH7^&%x-Z6%JR(eF*iv5SvzdZeY@h!8OPvs z3R;D#uG7pj*?)=CsRt#nM5^?>Q)%VY(A&CH)MQB~5 zZ9!Dy%ooojr_-^DiXx|raqM1kkaf>2dnP$GRs#_pd+HHfxwam-5Id;zVX{5O>CZfZ z7k>3OaByK!=uRH9(%o{4sukzy)cp_P^soQptexD8w@V(OoK@-uBaVb;1JfCh-A-<^ zWeU7=uIoKY36nO-lpJPI)fK2F45|T|X=}X6Yh)`Ioa#;Y8M0^2(&2k-R9fGS``0lT zRaIg8FRr6)8eI7LSMk!T=Q?;Zkd1Umz!DF*QQDz)NZLu1k~QQf5oi1;a8HJ|o*Brp zHVSwrIhI+9DOt$gCMw$O%u1yP2Wa*3MXX+4#liU@Msp*qrCFScU);N?4{w>(GRDQr z7n1BN7c{A$bo)%%-7a&`+1$5uFC~gd`gfa7h}D_0-q#psUVJ(oSfLsvj!GdH#LmT7 zIeHvN4!uvxgal@qe`f~jO7vRWFit=9Nt*>xI`!swsN+Lvwny6nXjA!Vkb-5YZdFw# z)V+sX1SeK`bSu2QE#AtkzaW=Geb@SEfp_Gq>R0=j%a46nXO<+`+}v^L*69Cc{hK4t z{S?VENy(wCXl-KRsoT=nzoP?~+ox67Sm~`GV6F_OJ@F_ zJAV%98(CTG_&@vNGdT09=jBk1q|?rP;VG7~zRG`Mt8@}tyKAJhXhZG);6AN=AsR&AzQJkkDZmoH-Z?)PUt+rr!ke2uKk z(cH-FxSrU0`qzI6>(|x*k_67V=YC7{Rw7IfVsSZPEQKY$Ew`y1tqrEB26MVwgWK$7 z)MH6bs+1&^{c)YI@h?N{byZiWDnc_AyrZ|L&kyHDIR1eZ9Q(j=4DTF@>=0wTImTpr zj0=}9;#=3&_Ke9^FI~Xm!a$7PIY8PS+yTxQHM~~y! z(G?IghO-NJ?Bh@3fk%EWYZD+k<@rxN4+dlN`X)|4^$5ngcVvvo0$sz9^o!n5^Z{-s zCd>E-_t`22T3hV8yY=^?qtx{H7V+^yHlrQ%{=Iul#>fYea$4lu?s&)Yg58JC3YI&b z;K`rYY^^75{=Dp?dN)Xd*UgJIW-1A z7z%OW(4R5^alottb9Bfg>uy1bpkaodLA}$hOGW2^B{7LcG(1<;wHQgNaA0NzbtU`G z?M$a>W=a8z@;-sdfR6%0I~RrD(mTefd|U+(A*t=`pVA0~!~zgTN`rzR->s)__Jr`P zO|w(vr&{T*2nPlO4C)FyO@p1Z>8>f31f(YwBf{{JF-AJ^Qj%+uw9C8nA71&__{txA z)kfh}S+oJY3T=k>^5JFpK4`A?HDOd$;y9lBotKhf4FG4stha?Bd-6Q}`KR&ufAYU# z+BCR1-9g(#y7diNCSiRr$!{q~Er)uF9^QwyjXdasL4(BLM0ixz=1canz%<}p*W&oK zQvtEAYD?4{sAn)UQ)5~+)?ij+0P!DyMhN!ePx{-82 zVVs~mP$Q+)*)K@_-eHxgE|g{{!V=``*VeFlDGxLQ!rp4~`f}|?7|t&ENF`H>Arr6D z>6jG(7ruT0-%9$tdl$B}Ac#$aXuYTC5lR%@q$QbiclwIvMw{eHul;f=lV?pSbZ zt#jG-ceBPi2Bp*0SC|G6rdNu+NAgB+z`JW39TU#5BIqcK_wV?Yx3NgvrvBPS8x-tT zq)6d5nGKahTc`hb98T`CyYwewiz)D(B43KDi+8SDo7=!U`&Lv(kmS|s<%^Ic|EkGz zOu+ZyZL^g~+u0iJt?3SQmbA$Gs?)X41ly{7De%_bR^n}S#uwP>cfA|%hU(RFAHjSk zK;)C-FUf<+^|A5e;-#-QeK+_}eezfB7GuE%>i`G_{u8S8AE@ z+&_E90%7$jhO@H29ye3W%pAaAP}zQl%7?}IMO2jgY|a;{XHgHdNa^;;e~aT34yGrx$*_85OU z{w}y}G2R+ua(#m3yYB&rFf*9JOr3nT3~$lh$BrJy6Q6i8^&u&DI5z|cmdso^dK_n8 zJ%@{zE~FW;p?`;%>??op6%6O*(Y8p3RTVK=QaEonCM)%7zt0wQL{boOMyD1RW3nSD z;VMNDMzcc<=SFh)7`*$&?KtlIp*!)|#~#HaPdx^p8t) za{f*q-r5&+23cq3Q^H&0tp8AAGTqPBUxl->NA>VDm4dN5?K|U1H&RDSR5@^21KxBD(lzQ@#L8&{62eV8I8Lq z9D#-j?|<(-sBAyH#K^=Woq<&m;pOvZtp!>8LmfJrptD6@|Mu(n!z=$9?|;wxK|Swj zJ)_wXmJTi3rr7QcJC;#F#o1PIQm)gQq*x75fn9DcO0J4B$X+QhQ<<3=)M#6gX>f~* z1n;T>n&}Sg1iYZKqCzrMlGE_m!%tx8?t2W=yl*(J){ctMv@NKTdPZ|2Jol*=aQZ3T z$4KOIfHpXh?kF~_d{&$Ro$*;w1*BPq>PVKC;vHEfavs!H58is)c}&)S{kbqw#S!6X z%ml;5xkVhi_ZXHAFNLHy49A)Ps%80S)5y}NBX=FKwpAoD&PcP%SZ6ZDld6Lb%II*N znO&J@<;yXVX7(@<&b{gzymz^qoAsCoiKcQmzi3JLIKC)G)Mprc?ebT%7Up`vYqX<= z7?@6XFf%j2fkBP7X)tXd0eB_)ysorw75$~>%J8PQig$e4bwu(_424b-G*}z$-%4X@ zj*mwKUF$eUjnTzBI)`nvndTIw-R}DAX)oWr|L2rDRk0scNF(d2>sXgk__MmSGP9M* zF)O*HwN;7Ew%l2eOUdb{U^l#@!x?S3zL4YX*okA7&oI#E`{dcm+68Y*+?uU%-@vUP z!kwH#msQfrQazOY^|qiFZwnULErsd&9=s{x%OMa@{A2lqKr0aNPPMX^2_&aG$&DAo z`TlR|nrx48E^Vt(ZsAhmJ>H(+^k@Dtjvf6;967Xv;p`BzKfGwVaJ)Ie|M9O@@s;2I z8aB2!6&&*+zxgNY_$UAL41V<=Jr48PstQzz&(^lG3!d7t=f3!itQb)HANn^lW9Q}$ zs(OHTzT*xkX)0j{7Zx+0ZM@|tn^K@L`fPf@Ngv)zhnDfs-+M@W1Z_*pSi81{SI)m| zGS|i1`#{8dabXelKn~^*U$rAl?jIPI(%QK@hiID??|jEQ#BWLa>4|>GuhIOX%Rf8j ziO{e#S$QlKPqxR<_<=kB<}B{G;{g8CgZ~MZ4=>}!P8v`K%gTXDSIX@8VJL8! zW)L3#_!C+GWx$u9seC~aw#Pqk93TGVhcig@?~%KX;MpgiMLigpk8vg?^7LYsnK=)f zIECfI@5jZ@V>k5F&wf%^#HvM{$%~T-$(a46|W+|kK9OlVL0zIa9fs= zHn+zeeZF*PDfn1v1p?3?lQH9g`yPTy{!bqLNvvLe%~o1O3w1j&nPCN&#g0Dj_z^h= zxaWRuG4sm%mexo6cB`1I6dr{2%|X{_Vz;hlRqZYNd$?Jp+Fye8Gj{|;iZ-SFx6 z(f1dVmG-pcQ6KO6=!$sXGA6r4`F*jNUVSl{(6cw*THo9E(IeiJ;-^GoXp|d~gtqu8rL1^)?T-C+4hfe=qYVZaPGT&V)kNpGrRTT!c?5)%iEkMR1wa-dKPD2m3@EI zRz#U`yv1}zem6}c3BO>F)v453Q##ARlce358mjO-5R%U?{>vBf!ms{DI(ahCUFkEN z9D*o+39G^()$+BGinjz2-s2#^BHZzgcbY@iu!_3Aot#-BN_)5g#I51J8{UQ+2!HvPe~BNwc_U@5v_hnVEdX08 z?&JrJY{U2D8SgCCqITi5-)9-hdbVV64-Y{%-hJKIa zXy0rj-i3_BrP4uif}SIr;T2CVrchSHggWSM(^EPdlw;d-lw+ONKDDPLx}y#Ko5G{P zHA%L!l7Tbic*j`-yW#EL0U?u*!ZTC7*qTfvyv?2_orpFq!j3jlXjZv*Eb-q;Q=5xrSvJ#q5OgImwDRwvM+umb=u8yh)AiDwgUsymK(j zH;c3X5Dy(Gbt#*E>cd;>@D{RRmG3j-cngm!vqdqHqB3qt;LtZBFLuLQ`(BhWdliE1 z=Jp0&e)WH|fax#(zY25j8l~CP2q&I}m%{ab{{CzD=AT@_ul=LP@FVY8Len&;xCNz? zc^Q&qdiIOY;L5eN4&Fp;Gw2301KhlM6E|<(#KzVbevTz!?uTdb<`3S)bb1qYUE@#R z_2R_>LVu1D@Vf|DmsVK_fZ zgYCl4@%1qtIQ>AdD-x3p=MG}|@DZpRw6Q(G=Jq(luf)5XxN;k=_TTiI+ZR}+k5M~~YKQ{jD!(dh5ark9u6NKc@s^$W(E zkPT$yF?ej-ZZWv4vp9&hTTEs;l-!0};?kRBbl+}FrZG`$e8*=CZAH<3*k|Xt61rPV z7J2no7L!pIZ`Z}qQbn2+@BPJOdQ171^H<52<{~+KQ551F5nL#z7Vk+osT|6wrf^Hd3x6I935}rZOs1Ro+R|c}x;I-Wub~i%;7LsCmam=N|dINw68L$UBjm zR+SPnY7n+`xrR+|%{y3>iO8=CEtslX(%r^o)l`&X7^;Eypr?a3GBI)5lMrNkK_z)c z(T_@J2C+q77i9b)P5GG4!W#T339nY8yprWKIz1}N>_HdEKA1~qn?smz?EKEu_I z_h9CL$iB|1AxSoZ1pB(G)2z3EH}8UXqBoAh5f}@zi#U1WB#s|l0a)lx*+;{G_3)Ii zu9aH`(voN9Bf}xV%{Rk4?In(?*$9pxe`tNWqhrpGl+X^9rB}RM%*m7HQi~p(p7D z24gTd5S4V0?=n#vIGFG@XE42bAOJ~3K~$$Y9^N-R$SqNDp2V4Fo`6#s zPx2d`vs90&oY*8bj_zKk_9++NUHW$jZj@7gzu-?+5_j&$(zS0_L5JCr6ZGR9mu|@K z3~*}!1;TH}`%quWi|8H1ixYj?p&f6sc37E;H{S~Hf`h$yclqQ&hTDKb<@9hm|1}G) zq6`4Rxle8B;O$tc73r%j3z&Q8(016;CibOwN={|VgXC_xG)|VGx3)AUqUP;$%JYZH z&?2@>UNG}rIGYh~RT&$b6R)VEvdGT0u{FUz{Iy51I2_?e-g5*8=SNsv7~zf0F~0H5 z3)uYgu?2EcE;$YiT>Sbf?zwvzpbG6ygVoCy3k?E{uWw>BH%dMmVPn&07!l+k1ggjm zzi65k<8+F6DmiRADzud zm+f98A-2LjOHal zCN^9WlfF#a`^iX`?xy<$Ipn3(w*T?)-8e89UJBgRi%Z&cT`9=Kv&z!;s^`vH0Xxg-&EfF@a zk8$zq7t(;g%a2dUpdjo0oskGY+oGB72wotJuWxi>vW3}2*ZY;kL;&^1WR>Z&Hu;Mw ztw_n^?)d1r9O~cFkIMbr+#cABrQox<_3qN{OI^dg^mjP72%viaPB+=&b?g!R{ zi=(gAy-I0x4V+aT@Ni!56_aUO-5&_;AWr)V*J!~wPy^Hky2HP1 z=p+P2RkP69wl*WEWd=`MIV>U7*S6vaUHtkgUba&v`$q}lo(up`lVe0lJ_H1|#9Frh zS=-|KH*Vt18*c_&*c?L{G0+a92EjS0hByx2v>ZU^7K4EXRpgivrJSD$o%}}qct@t<|x4@vq))3+>vSUoOPajP`gf9rN(~S( zkoKnoGj80ti64Cb`*_D42f|X9Sb|H4<47R#k?|~Xz^N}K2S}IfOc8XDvCZr0>YLIi zIli2Dq+f`*hi=im6~e5gRGi`$6BO!HSCj%cJ=BC5sA{w%szPMn=Pk7b2eMIIpo_QC zT4ZZVoxWQzk&zy3VcCD(QEgrBl+)~b6Dap4DSLj7x8qVG6ZH`pAEjX7f$zB}R+xHf z)bCO6xP=nQjBSqtaC*ND{j3*nkOb4j5Q_!V7eMKb=FVSCM0I)YwC;*`dg-m;t+aH0 zN5tFR)#xmh_AOeKTL<$1>Ys~GMf#YO?gtfQ=c~<-4)_+c8FJ=Jtwme z(;-wu;*V)Aa5Ou_$-nmt`0)>X2#EqgdwXqO-|YD4qq!m4bZWCsmY8gfdt)+AvQibki{iVNj~*>>UrdJZi|W^k8qBiBPR11+N|3j#0CZ1_LgF@)E_ym z2rzy|pDpk_+VJRC0{H6{lNETY*4Bf*Vge`|L=1RqKaG+leoS6xlt1r{B_&8)2fBQC0_v=;fn#WXG3qr$(5Ag*cJLU-1Kf*uPy;@@t>5NZoxAYQmoDXAQ;D}oh6ZUV@kiRS z?%c@e2N5Kg%8nok{jhJ(wA;a3Y+}-#sgJRK4lnP9x8cLS6!=znE9JTFDe;Eu-hj6| z-+50l4}}2PS0nbKRGI@XpMyOLS;{WctdzK9$M*@laA_46E?tbR&hUQech2IqOBb+o zXbEdq*DNun*JOJG=f3d z?|*hT9=Pu$UOxXa07;gey#FCtDw|f!gmI#*r!3CP3?%hcH@Cyd!jQyF)38jiOTNo^ zdlTkkrZ(8A^`tx=+cO@#rqeaks3rs1$-*kP2ky87R97j`WW0WL9gjS9+FCuD8-dVb zVQz$_Lr3t5k9;EY(Z|;(nbfNM4Ch9ezjFa6?|(=RUr1Pux5jed$o$B)2{Rg&(|ctJ ztI9O2?drRXuaDunMHsL+HxysI@Lj~VlWk%&*&e5@ZYn(S@h3s)^j@n&`>fh<5Sr-@ zMt9EQpZ*WOiNF8f{Wn>kRViOOw1me${v;OXhe&xkRCR^--gP9DN@=ztW3sh@xX%Cq zSeRb~QJC$^GWj5Cg6N|U=NF+{ttj1k%J^PB`YmHJs@cHvdPJ*=u%EoTj0dfNn6)B-Y1wwms2RD$8 zdDl^-bV?Ulx%rF(Dr!;ZSe9}rYut%-*h42QXdouk)MoE(2QzJfAtQS-v`90VS?=-qzX%Ew%J-i#&pjVH=2|e8rq_G zds_e`yj2c-gpsYSF*qq5nH+CIj<<{wu3uZn^4-g*xyJP74ZKM=WT{470S$v%#%O+M z?`SJ3#0_Viu4lgRG+y}S7ciP1rC3E1M2V+ul8DqM5M($dCB$0>sFnQ_b!Lqlt3p0f zod?n~Pwm0#AnFV(*|#bx`>w&u3@B?ua=aNxr==noAis3RQp7us5;1_sAAa25E9gc_ zf(sd9bu;W-iXUOqy?-1?MgRNf+x1WFM$*j^ZuRD zl`mzn^?!T#^#P*TS z=IXX$|9Jb7V|j-$-rT@=b8Jt0q8MOQasuh)3*n##r~Am^Wju8ML-MTAEy=#K=U)zZ z>r&M?!#&seW_L(F59dZ5TUL=IteRG}gZON9ML0NI#NzxSp8fRa@W3M<#@6-**8j~q zmc!|ybyeYkpZYL<{{B#=)3h^50zX@!ycY)L=FW$FY} zTw}U(6Lc6&@Ab!I6zY)$DP%GqY0PcNzn_>a$NTnTvhuUy z9eo?E*X`ckF`4771H!q5)ZZL$Wd4HI0ASxSnQM&P59spQan0KkTd3{o!`qYWCEg5~ zHCWHW08I&u-^>%Sk*_j_L*I zl}0)kgr?{?>Xbzx6`Eo;9>!>8za`&vc7a!80OhRkE|)o=u7gprGhR;lnn_xoWC>uE zTx}MK>N?|*7wiVZD0P9S(2mjx*VZZpWnd$1bVaYfX^>yOueEc)p(`ZPin=1u;D9{G zKt(mGTHe{bzL`cEy=9_6IPow9BP=rrx*YO0D4$3@iQ=gkRO@qb|3gZIYVwlp87ZM{ z8Pm4)_q}?-#zt?-z*qiOB+E|9DXzjxAF0-cR0SF4KnJ{|bOQOwOHpp~zy`+Gu7Og1 zW=+iS?z`5muHl}$mr)TgGjl+0wnA@P3#JF>58|G~%XmFGOXa1;lZdgoy@5~u`X}+& z!%txO@DiHo)D>k~hH8h85PZa$#3`&2a17cNZ^`|Iz=bFsija8LGIm&IhSr0+^pXSK z=->^ICov80U_cYzj!SZxLN~OeNP+j`ACnn)LR7oUJ)@Djsz9=<1Yp(*! zXlSZwXDTD|gGe_=`Z&`y*&d=nL`X-25XxYrw)%2?Evw-gyU7>_9E)mPmiM4r---@V zzRkMobbZxvvstn_Ymo?p!N7Q}ZSZXyq5&@2n7+%V#*u~`Z||Mji~IYxI)zSOtaY#c z?M|Ywh{CBawn`4*lDk z+Qpj@mMWy5h*-O9r+;Ty+H1SpZ~dJ*c*BzC`PgfYcj>#7PG)ZJ`tgoDP`uS=DEEtV z8j~!L*Vr?b)u~VUr|;qxcsosQ_sV+QZmA5iB}8mO8W>rpa*D%W-4s6e*)#zUbom=g z3=_EEyzP2G>a%6v5%G4K?ZGNTDSRw?WAxWzJ7{;jH7L6k-a4Dned7G7QvN2}MW@%2rde)_R&ZlKIMC-{0Gze*oH89QulkF!o;D>sr2)TLQ% zE2}$ZW(KG$!f~DImWyTwu8yVgrR}7*$*$LO5rr}KvwGR=N4lQAv4pWQuxzxm2e>s*r(NEtH`#SyhuI`?zIn zUY~UE9?g$@Kr;C*YuDCr`l&}U{%H+McP(LO+Ms2Lxj?}B)iqqayb2=1aCV60!^>EH z&poJ!Ff*6|2sm}$DV)B#Ci2gOgL5Oi@XMbIU69;ogADGf@6x8hVj{xE)`q@dy7S=N zD6`5`Rp|s<$4biwB;l(+sPB^7<7mU>`6GvpSVy0v8I)J9<|pFP7G=n73lO`8_e=@fbxocN}}XX}}LeXE#^2_Wv*SK7L07tHP_!MjyVCV1;o z+MdZEMP2_sV=}KM$J_P#-Z2>vCMZRHo+^wj-K~TelT|ErHeBuUbiknptWv)A6O+k1 zc@23&FEhrsjLEcr`|(YjmD7j!UOu|_pj+V`CsRe4b$PfI-k|PE&klB+m!Zk1y*<-g zUxU4!zP&H+`>y0VzZc)5QV_!PQGIpVm4Q?oMQj^Bhcfl5kSh(n^t)weV+ZBr10-9- zEu%#vdp5+t9=Tw7*+qTUu()3USqV`rV~5ZeIZ|g*<{Z%(Ep5}P+6ugqM{@%dg*64o zts3>fL)Hy;oot6lmZS@Q#il;3FxeO3^z&jGi!Rg_Lq<+Q&~E2rsIWY)4m1ysTdr8~+* zG^4JQ$oh0XGbwix^I2Y$eRk>14Rv{if$gk+17u0be!9KR=ulYTYUTOgD&b)V5COI^ zb<`=XZG4{h&&Z>wr`qs-iMQw?$6NJ(Y?m8-w-|&1m&Ay2@WZtMd;ezVjcW=;gy9q; z-08=%RmLOj zIW55gbns>y@!kz@cN6>Zb}V(~OvIv#Hv-a54w%Rb|E7reQ|JMfx}DPaV;#9Pvp za#AseLst6nHl7E3EU^}fjY)%kynT5KfULX-Q@p4LZ*5n+N`D1xFGKg_)X%ypd16vd zh-N8ceXRemmm38=Yp}~)?z8set%J{MD@9Ba$9n1I@Xe8W^x-E{u+OGZP*phd&z=o^ zu(AYRTj1>_s~^&u{4ivO+Ud_cf(K5V#L`_$$!Dul0x9@x1XKgr&vx1{u3THQedac{ z#`x^#Ka0m7egcwbbh`-#rqc%XOggiW-? zr+>Ftm>ua?^V#CQe+2P6>;zpzJCr`_fWL{b92|1x-+aqxRJ$}kJHk%svzdN&yj8Bi zFyG~(opkH`HJwu-tsro^FJ4*&ASdN6%ndO=JCpDo;IGV}4+(PMb< z#6uv~L$_jEWVYhj^XH2G-I`kAL>LieTe^5pQ-3D6!ESxr){f>!X-gN`$9lZwhxNSG zm@JtoxZ9B9?QIQLVZVW>nMxXc<%>R0_V28W9v}VWeJAnWzqN$T>l3_u{v0;9H~w10 zWcnki!;Ia1^bX!p8&iHSbc;^=^viBOx_;LI*IUPAinpZBGum>1vun4Q3~(PkPV6du zbSq<@F`3F^^erM^BmO3LL9y)Sqi1*?e91*@$ zvMgGeWPL-3tEKe7Fu-57lkA{RkjBZNct{=!EKAGssWbovL}xl(WYTkgBo?MbSF7gdq6;~ zGaa-pGeAncJ3?Hv-6mOZpqn8ElDj=y<$$x=*o>Z^PUiAZfo1S>%%*#3$x)W<9(M8I62LIGs&D6yWp+;h9cg5y4HUw@%FY5`tU}w zL4e~O<)>QTja%~MSzj`*+B@O@DH!k4HwRODktG|hb*@fi0z78T zpf-FsH^Q@@dJdEANw)8uZZE=2w&XVAA@c2s8QGp!5%hh~^!sQb&Hf5LnoEvp~Ha)F3YQ2S7PiQd%RLLP8rBABb zWN~g0bw!!a_NjmHBp7n9Y18&aY2}6_I2fTRU?wcR=lyb66?q>x_v%YHm*i(*ZV}6e zm+;ufpGY}$Z;yzU>qe^Uj?Z@T#HnQ8$oFmBmV;u3vqQAOXY=LkS+B$Mzw$XbBitO;petqt`5t%JlX&NAOJ~3K~&y~74IICdEI$?rM&&%opU3nO)mmblIV>8{-G0- z1^uFSpsX$Cmt5X+pY2vYdaSGKqelu-EjB8lP7#9hiMM>ct>v__J%B6Ajw8{544x@X z&YG-2&zY?bYRsYnoULC5iqU0jpXI7E2HC6zcEGGNMJXwiKadNBF~JgioH`(x)7BPT z5M>ZMSuP;@vyN9?W8JiCA((IE(&L$M#|D&m5M7cQ6+Io(q%73UDd)};H zU6VE>y%LvxYP%W0-~HPkLS5Hr+Xg-(!FzbiE?Z`dlP=W_=IsQ?6o?B3eRRWwTxM%@ z-4A;VdS;p%J>8F_W0}%d(*uyhGmQ7GORg@T@b^>FDD2H*$tMP=0_rrgz{X<|Pg)MW zMAe7d(4;?2KB6t_#hZ-(ahgEvogB-vDt(q&G%;=VMC{-0WJMdFCpNt{wSN`ocneKj z(6o!bmbEc9>RMWHcQk`l;IRKpQLgbJbKc}rO};!P{*CgYc$?K{W47zJLcFp|`!46c zQubOjqfmST-!gp{H9@q(eRu=v(#2%qUUM5bQ^i|r>250$`?uEBhj*Dr>m)Zr{{`H?v$_g{;Qf($ z@Qx3L7j>|Nf=p(WQ@9m$1czckfZ^>jQ>Q@MdxD=3+@b<;Yk0>)Xq0D)TFDosL^w=X zySj$=9bPsadG7pKtl2>pfxE0cOsgNl(rbN22byF5u9Bpyylyo6Co9E}zhv3lvNxN>zJYggCYFC&*#kQ@ME9Y5*HwQsLO zA29hR{l7v@6~@~Wqk#d8w>NR&@+y|!vz!g0jpk%OKiEB!R=9HUx)~&gOHjT)>c-X> zdLpz0$5lGaEBJ}#v&Ce)lzrszk>t~8eZa}nKWDmA`}f6j|Cc>MKuT5yTL)lqenFlI zzRM(@#G7I=rCZ(=hD^5gkcVjZ<&ZpC6Z{f4)p%C)5N`s$-jqNd_O=S?44lQGGepL8u zQ3_mDEH222!5{hLM~WG*Zo5WvL!A1VU&QebtfUnhjHSDdWWMTndyI>hF5s2(FLiu$ zO`AZU0;S(cOty4r8E2k+764(gJ;9kTp27OHHScR$Ua%>yu`+I0`@6bq_n3^~`=9RR zqg$WA+mFdm;2jfJS&K`bjr(J=ejBdZeLr~Tb(e!izI~eG9cMc38j}TjZ4ebP1}8*` zRXuO=n%b~;4Bqw8mEUdnrhH+fiBTG)=8yC^u=~H>auN@4|ILC>xRUTEVz>0mr)+u# zHZn7Jn4L#4(oxO z7pi7XgPGh2>#3Arb|=u17gBOOm#4eCMozbO`le~Ib8`oAhM&-rP~;Y-vPg|dp(He! zkd1QhE{%p)a43`mkx!X2PVdROfAO-E?zU~v+-yO0?R=pw-dc9s;f2?w$|sKQC0iL} z+S`=NvnOA&vV*L|=kqme5Q2U7So$8ssS6`jtgawMCF=u z(-pPTZCYL@bjsUiEGQP7=_?L(eem8D>r=bZT`_1%IQ!~ZynOy_Kq)r_vCh%l0#<(X zC&2AYnt_lKYiWr~QV;f48SKaMRA`lG!3jCurYn&L{gi#SN`%MEXs4ptMNPVFP?{9ap^dSBt1ZjQ?cg0|O#z{g=URcX z&+@!G5b-Xcx<8`jEq6@S5pWraVLL3?)AD0+xpwY6;WEl;#v2GI^mKW-Z756c`=0AR z?>FgimJ)BBWtqz9OQS{oUH111>dKXE%J)T#ywS$(hBtuRhGQ?h{kmPe)mg7o!j{5w z5nqDNa@)nfG8+4T1CW9+&Q1*84R64GHWWN^Ivkyx2R>K=j(E#e_U{sB^PvoH;iA6d zOa9&>-c;hP?`j|w6ouBIkM;0Zo+IObJkc~tO4+~5Sq;%=Blt|IOn--GJ2#6D)*i8`@u&y83{i6=JwbyiMk`o1gCa(@fJNeoLw-^AO(Trtqm`aAmNI( zmsk>3niNWMKAaobOnv}hO51ky;9Z9a8EvakMpvg(icLW9atpjOx(d35b;dZfZHu;@ zLTtFawR&k4RkGisxuNqq`7UeUUh~$m)H9kJN>VcnQ0nZp7?aKZ@SIElxP{N=JnH%` zZjI%dY;U01*@5njtiEdLvnk!9`9-YUyMnKM<3g4&0we+V%JaWvdsk9=H}~0OneT)5 zKZIi+IF1J&`MJz4kJ1ug2BTqsr!Bra{%#hNJ$T?eZ)W4FZ+qfd^;ldGjfbPp&a$zaBdOLJn?+!GP0-i+8@7eiP2LhPC{p!F3yi| z^2AA;ergR-dMVYL+iyGnbqpO`H3iZZ-|Z^8wA9iOeJi(`HE3SjMxJ~~1x zx_Fm9n?B`s%lQ(Y%lX@L^}BHUz6Njo?PLttjUBWt_MHk=gnOI|veKc;bb_AF*}_2Z zh^)?BX_RWjFP$>1inoOx9iM2b(!mLu#i`;szNIJzI(Zp&6w zV1tuh5m41Ns;WZUHXab~LT7Zb%5KF(a-=c`x=Hpd(c}t<3M_%xxxG`N5W zawIxzaRfcS=RPxGP}iudD(r71YNMSt^1bRaAKLlU?au=R?_>a@0$7rQ(ES26k&(VL zg3>ilL`saoq_yAVxJXQ<-*um%7_1bWDL4{URQK|-qeCtCU%z?<5B#)jfSR-~>Pj7o zlniYdkAD0~eDsqt<=kcnBF8)S&q!S~3Jkyw9MFWDzGL0Ln{c;26qAi0*@%}Jjp9v& zs-`5fUJ_?~bG+kUeIIQLnyEpp&ujpY@a8NzYyAP zPkBN@(_k<_UFEaP?63OG_M5jN7Z)Ghq$H;xBpIoC5*}TB?xriCvx|e|3IBd09raC= zM(;CSy!*Iax(~M3YT$+jyp=TAYRHpa`L&xqH&d3itE*e}TQ*`|=&O`c&DyWCz&I<( z@z!rTS2n&Y_bZcaPlMz-PXgGSmz+J{y&}&5bWULPS)Q?#x!zNLm0ZdxgFTnG#0Pg` znLbM|*l>8#Rr>}ZPskC%3kB`D6MZYZa}T2X*$C|PQ2-X?m#QFRQSZC*x#{3t_9^Xy zxhybt!^HU!`*#JF4e`^XG7BK-Br36kDLsynW%!WI0xW3i>UsTC&dPKd(@owpUIx7T z=<`zj-ehZxi(6w{yu2D@K5r3q+UIf%aNz^Q-@155OCI@9wphRKjkmN^bXAVGK2JsI z=UzRFmtTF!>_jFLL|DDF3TEKg2UhT{YwI}s<+B~W=o_n-E&`Cl7Ifg)gK2=OD$T%Z z(Y9^cPc4@nIs8Im4IO}+Y)?9PH(a4ci{b3Zc&a{IlpmW$X0zkGLY1w@?%H>b47D!umh>d33yD-LN6n@{!SG8Sp z3g2bYIkhnDf+ zqaW#w$wqS{oH}t5%Xi;{x~{RYxq&w}H?Xm}f%R+a2`hwt(Y^K?lj%&qm{89xSK)6Z zIe7Hp$Gs*dn^pPBt7lDb**@OM|E=r|pAEa0kN&BD@FZ4#^n+=iLEy26AIF&&pAnoh zT3u(BF`3SW%k_EBfHAwRd#f=SVB(i>&Ux?cEqq*Pq1p+wB8cD28 z=QvxdvO!wTKPu45A9dVR=qQtpz)g|_R$FnP)A_5)Ge;t-4;dk>sqr6i%qZS2-8sSr{b9bnhuw1y2)=iZ{|MT29L{ zgWM>8@;7r;3;LeHwb9u8SaL$>`$SDC?W6Ac)02l`s z4jQ&vZk_Qyn$Tz((@Dc^Hyla_0v*826dK*BEvBPT zTsazf;tk%vgFKZ^gL6Cg14?HmV$t_m+Stko#5TC&BcVX9zvNzdEK~RDEX@I+%SAZj zSirlWOdTSvKPEAewJf&HYjj)f6lWTyW?`#GrK_amM*f)HIwgW<9w)y zWsotU)Wy5xs3I#=Q*pP{)C7(%=Vir8L>Y>oA!B^nfW__A5$d znM5A3ifRlIh2)k=+Jn_q$!lkG8HIe!+fU0%h5_npGh-OK63QZoHO zKuWw=Em?W%*VfQ9X(%E+Gv3}X4F%|)hl00mJ)(^tZ+YTP-?(^r6-$SgKxV^%2ktuw zLh^G+mgj21nUek849jViWy85qa)e;q)`JkDk3L!$1;-%gmD?#{$}sK4*ES5WEG>Ke z+iO_Ax|R-)7-M65Vh46~mk-M4(flGxpKbl>dL~B%#&C9&CMF2oNC#(?F_|T}5`QP# zW1LM(bC(Y-fk9ZDmziu!hnD3v60T564Ca@#ZT0d+tY2Hl@iYVU$e|-?@5D{4U0ui0 zVUZiz>${dcDgkp&2#g2rdkD*S%d+>X3cf1#_Sq)aC#ftLZ4_>(EDq;Kc~Pr-XQH^Otj`~pUEqqGMrVKAt1aN!`9raNd-hdle`m+^IyL5Rf^hS)qpZ}H5;mBRfkXe{W=8ACoGrxrO@2qEs zaKvkTeX{SE?4HBR_^H41Av8NXXj4oznp?zU4?lqiPk&_JF`4-!nLh8aRl(T1$KdVU zPfTXt+5Rzla(fK($!{H#`8$1f$gP%tFD+xVa^)SPAALT0?qBU1lNDccJK}WSUQCwf zl=h2v-wyicrMJb&ky2&DTtby5W!Vxyd#`W}dxQzlPUTKoWRX9YDX6;IdBfT#`+^X3*{|07!5=+_bFZH5#XGuxc}i2Rm3xo* zXh!R^sVb^urX~Uj8QzAFBtX-A?NS*ljivEXyemp4!cpEgqRgq}W=e7&cryr-?G0SH zwk{{5k>kzGn3<_Ex}W&O6Zr5aKa%0CJgdScqg-$?)}Fa@%;|I<0BJuF-IEePhPTe# zQOxX_v=>YqL28+Srj=P~1)wpID;-M!*}*lAHv--%p^e;FIGs|bZz|6=b1%{jbIvN? zjGB>>GgZLM@s_>MR7+7RicQ-yrvooY59jD?M8sQcco?}PwNrU{@rsVBbIgD1$6K!0)~Fle-O~E#Q(a6rFF)#Y zYr|f6dkab#0CvOMDUNve>+_&*wLfJ`U~>5mw>o&s0F?8@Ij?vJK4k-=obfKl+t_2g zGag45A(i2|u80{IybpbWk^SlCgwH>it;jLt=vsOgBZMGGgy)cS%0rU!# zC+hp@Pvj3o1Wn(d5AULFMO87m9iMmlIeQ~J&9I<5%JZ%8){i=t+~GZYYdZc3`?uKt zBVBJ5ZY@ zXJ-e4840a)rdG6C8(R}xxU`CuqbndHJoDnyhGL9&v_<3XF-G$;>#c1Xy#DQP<~jqw z+SPSgsZ#9Ytemgv3e*BS+{nQUNfvbWPK?P2qW7ZDHc2z~VoWCTDy?Xy4gQ~Ry$%G< zN4#|wV9N|{#P?diy5@V?g|~L|(Fu&v!lLjg`fQ1Fk!Pd%Md(2#1mMrMz8mB%^-D&_ zXIsCzhSf_KLC}+Sy`QgqUEPZJ% zclA}r*Eb#SB=^t%$_p6jDaoWh+f5v}ndyHqk@JyP~$EHhSytG>ke)7aA+;jK)K}6V@ zrh`(z_|o~8aOFE|=KF~(CJ764?>8o!zjHoqo#S+K+hRC3#KPPnHn%srIu8hK4wf*w zZrNUVeLex+a!eM7Ow|mj7{&x#rL+Fb585LpOYJXxwp4sao^KVC?S*%#6Fshg@u&3J z7@l`=enh)NS$Ve;lkFCRcM))Zu5*(D&vqMa-N#jlBD?+nvj&Fm1lY(C+yC8*h||XD zQivLpNOYM*B5>y1^)a-YNa~nzdsa(UlTlR_1_N2Lx-*^P#?2ixO_Ks$7a?~J%ks3f z`jI=HPkbu6w3Iqa+*DD^P(xGIH7FVCw&if*OZ+#AU|-;!p5&5lS5c; zbaP2J`@szHR8K!OP`+pLn<#1)eI7a8mfXx~6yB2cEnU*D#}kcb;ntSGCd&6X=ku7JH&%0PC32B{u|LPh|b$|I>n7QZ(=%a zyj)1R^`ORJFi77WKb!H?<4i=}#osas&y*ZnJM+6C;8gk&FG?+g{>L*?V4ozg%QZEbxvPbv0J{ zjr;M&u6V~_Gx{tg-mqtLO7eiD)TNH){_zeRC~kCnk(I$Y-W`4#-emoEFT5j7{(scx zK@9|s-x_b{S4mkUH6|zfevfz;>`4yhV0pLze(O7#V(BXvzyz`0;OIvQ9 z`(eHZRicYHd$;c@(cBl_==agJ?d5wV-ciFvT1!3YwB&f_+R@98zsD<2KkpN7`vsXo zFXIU<@r6%4hc7+Sp8Uj< zICeg?1+*<=I-TNOfAd|KyK~;l1#obF z5ug5tpE3-p5DVGiL+IMNr9(@2;HMu%Gu?4p!;JN->$d;2%ZAeJo6*P~llzOwu6*Z; z$VJ%g$2)&h-=nNuKpvBoy1ukMTE=b1Wa#(NOZww;o!NHb zyKV*aR&#N0YbG8MY`-u7K!tc{ACzTr#3v&+DUXVD#5S7luK4Dsmmqcg$Xb2Y-8~N) zM0w}y^vV7MM48nrMz~HV5yhlszpLZ4M(~t+N*y331hn0Z-Il(EK%%1TC*dQ5TSmi8 z_N+VU-O}_gX(6GlLN`zi1{kz$GV*TDqQhO0CsMr=d3mZz7+t)P6k?7ykfY~!s(|7ehD4kB{Jtg$|L{oIp z)H{gIs<&zV>N;>D@Qq-5nE32r#?qlBjOG?>27@PRoY?3tfPlKHjps6|sxv5A_C2fz zKv=q@#r5fP$2G^now?Ab3TL5AsdqJVeBR7^;H^K~r(g7~n7oR3lyo>H`TJ4Yq8p=* zWZv?KH)ZAa;T;n#S!38|ZE)(}1n=LmHm6@M?c@D6JlCudyK=O#l%t*|?us`MbUTm* zK7E!hUejWT9$fkCyuI;;n)hAs?)vCC-d+Qle$v*)Q_6ko zgWXb?v59d|q}w~}kgSAv?5)S{UBUbAI%0CZba)w`JG`u75!spEWn8#)!IM{6OXOd- zaeAk?708kR03ZNKL_t(+n|vN`PwO;vqyr2BpOW7qXF3QmZ)|NC|1zFd&UIq=GR~Y8cC|^Lsy<&jw2W7t z`%+p?JCOv!p(RwLr>(ByrB}}-yb~7xKYMQ!VFyNUC!vwmFA6XVYq*+{j)_D9&GJjQHPg` zQ!*2cW0yflATqDrxh_up?GsTS#>H$761#A+m#tW5s*Sp?ab#iw6Rj3THDPmG9pItb ztQ=u)xPyBeA%R4?6ws;&t-zz#%Ya$nfn~Y3+s9|me^$g7V^{P~~v zaq+ctYE0&3!ly&?c(1BO{u*x^vq@Yt7!Gjx#uZ%p{KZhm2>oFnS8rS?S|P4YTky1$ z_^oJp3(tMOtX%zAV=_%m#UC}v;Y>KxWZ~!n0B7u3|3M#H-`~WoZ`{Hl=+Hqio2K4w z2UFrHi4%0G2E66{1IA>!$v6hL>Bc4>Ja$YL%RWMkP5?Co3Z(x3IM)YC;wev!Gz?)U zEx}Q8nT@bX1qVqBPVLJ>0!)mfqF`JCr=o}h!3H_Ga9G4>e;@nw5Klb$Bw7;_7>#N* zJzXtDC@x~Q4p`Gd;9y9;>ZOWo{&KnlI<4U`!1X+plVvghil$h#^uO5fc!-=T z;mp6<(51?+oif*_Pw~@(;}YIbb&fL!D{tS#m8Y-a{rC6rB!E_{1vvk8j_H8&!B1zZ zjkn(TeO!C29{UtVqO{>`Zm=RQoAZVFPM@;wpzxU5CI9s^+u?JqY%64u%7h zmt@D~Dnt~Ynt2LmPMyKk8<&gqmhq<8-)qIUQ+9!6S-PS zlz3vn)CXwa$kfy(fhUyefimBt1MpTid>_?F7W7-wm411pXee^Vb6Ht0Wy{7d6)-sLSKh>T3K zE5loeNVP(9;ne%!I49$$EGxbz`YU+rSfYHzh$cZcnx`0VrBWSRt+u6657a$VH2nmi zdw{BdOF2!LEs?gMWuhb!f2D`ZX&j7xQ8UNcV5ra!Esa*koAK7SjOF*zh@=JId59Q2 z)jEmFDJIO!LaWK(GK3{G;}K7&Z0fl4u@$t42AP4eL3j&y>G8#{=*C4U^u{PSVF9IY-X~JnW;ABb&srkthDs;rI5r!l+N15nom#$Njc}Rcx(wo zgx+>9&bagYnb#q~l*i}W|KeF-g>^q znW;A3d+$9EQH;_1$sroLr8dxQf}sZ z^ZT1P|CKLB-#Gtt7tfzsM)&D%*c z&ge16o`BWwOTY4^Fh;9DwI8!r6>#mVSHp{GFce!BHF62w3RBif2Z_;nEVy`V$uA3k zdnHW*q-X(;^!}gL;17~6~8w-J&W0o z&!T&D0e9cIi`#GCGCn}ZC?-Z{C)LP!$y23#d%PWNj9#uGACsj8ouO9zVOq1U_i@JH zy5H!llowGIJofd0PNx;=xcHAIz7$;NZ%gNrU``AmXMMHV@vAxr#tIfL`vs3l<&LjA zxPR&oHt^GA{bsNZd@Oi`KQSsA@O0p1p?B&XUo!pT6V*RxkUxotHBS^o6jW!GnG^rZ zZ~B^cH5~wIzWqkCHTf0cJZGZVN!9F{^wJ5s^nF7?f_D|>^wg;QQdy%A9*1r!GC;{_Ck-=1b^)cmZcloyFS5T@1no)s_RPo8W^e z9uzo8Uypn{m%OKI;97|b8#%|X;!ZiUz<1hljI|`8CoKa6CmQehws^VEr7v9a?-QSX z>*R}gAhSK$#N(IRUN zS>|QDH6Cg7pz&t5>|jRkQLU{U1%5g4i{f=K-kKAMucA$lza;^CP>h~?$<&^^_#f{H z#)mJ=PS2{?1psl3u6!AQb6kaV$$J3g4fUMb!P2_rHX+EGb@H#zk82I%h%KEtwg2NLmOr%&ki+0XA1ilmT9GE#TYq>P zpZxgAEM}9xoa9>^`9mid=zwu=+aHE8KerI^pPA}l`MI-FQ`8R^P6^(}zaIQRq~Itd zv^eeHFwt`HfQhCtS$nDzm)~;iVh^@(n18w(KZLR@}BM*ur08z*FzM|ZN`@oJ=H<0s{FF|Kzls6CH()OFHrWzJTOKoQa%mmsi7+V+tZCi31zi8~8#0*! zss{zUp~h_OsScJtaS~hGJwGFqa{HmN;y5p-5C&B)um1&LVtk!!6aSNY2S8(_X8_td zw3ibL6h7)l3@9mC@M z6_izroNm3lh3~!lJ$&9Q`B6ETOD| z*QjftuJ@xehbo`9keO*5=1OtOl`zMfOJ2Tl8E;+tx_7-Qkgj;DI$TT^b*5%<_7^{g zpa0pv8>Wj>6heEl?Gsok7^@!&-!VbNR1x8FoGQ(|J1rAKV#8#~Wa}ca#_%GaO6HOt zp}xIjHBtOk>JNFl*S>lMx3B#kh=7Ot`_V~zD}*~aN-Ph;`;Vz8k#cy?k{wLZ`g5BpR;Vo{6K|yuT@j1O?&y6XY!405Cf> zi_UTX1rR{A%>>1_17>GtfnY0LAYeq=Mtaw(XPKo5JnKL7Fi+u5QpOGvwY2STLfU6U zew^syK9-~)O<-CxVr^N@c2s{mPGw(eDC%HjEN^ z$FVG-KvVj*uE*+XinnS>{?+m;;9b&y6dNFws+35zv4l6fEKOxfiDsib`=}Ipmi5LtoD$0nVQwI(V>)*M8?U^zQdmEotv# zAE-IZXMx5iWPLbO)z}>|9`A7%t9~5HZ%yy$1W<- z27u~1p6Kguc-q=iZ4i7s>0`DJp|{;rK22l-_}OvZ|48g&Wk=|Q8IK&Z-CJM9+QwbD zz&~LN^K;#}2Ql+KPzhfmpO{35T{u?VQA<7_WlW~`_}0%W2Ym0{EqpB`@Je;JCug#l zZT@H%t&jjCwQ5WA?Evf=p8P6{D(o>1@-f@m`YNuxc^RVLE}XtA$(W{??aZlVEF4|% z<5u#AwGa`${)a30-n(0oe`|aF>AS5~g(shQ0yP0YeE)s)x$i>gA2%ku_~OM_-&0c^ zET1}q+wqVV(aj4lUc%DxlW`IP0Q_KYCxXC-yX-#LX^hbcP_w1I@9-buQhl}=lBSCQ z{FDFrcksja-@_;zoZ@xX2Uj9%jugC}&Q8rHHof`c5Ba&L)l@LeHgXbwtYaEEpsV2J z)teIMr7dMNrc@D2?yxbsr6$I0hMO@lTalVazaBq{r@uIcf2jf7v`gu~HFPe1HO;>e z>82bgf~3PC4P(}Y6WVm0UPs|Xe$L}E=X~q0u3Vq)*eRYyj!G5= z+eGOYZ+7^H!F#ahH*)RHEy(^Y!P*kfvS29^fkqS@uQ(y+T>P3|RXPz22G$4d;Q{0A zr%zicmQ1CjI^^#(X`DJtEia2{$xPdNIJg^c0_3TKUz&0Ct5)Ux@=1 zBw9H;^Aut)bK>H7)&uLHUk(6J-0+y<#-rNQmGKs70uGyMKfh>e3V2s7zh_EksuO7; z3j4S5{*tk;Q`jFy$~lDDGx;a-j837@f013evw}-sxQO@Pdk<8T-;0fBDJZ@P4(-WK zfnGNr-GN>EzdM~lbjDywUq}v2``2B0m0kQ5q)8)M6=Evgf|clWFTaCm*|jx^RM2qPM7xY z+<)ux`6pCWCfJCQjyH41g{3t2DUG*Kmb?=FP4zA1HjPyt<&yf+KwbS%>#W(PP*yPf zp=RWlTVa~z#7MGtmF8&{5`j;+vmS+G4Q%QLW?;ce?HX`MlNOpLDM9@8jnJR|hE zq!{-Ysk2a3@vru@dR3xPPlnm zJJX%`w~yISykcJ^Hej)C%YJZ zJ@@ia#$-NT=e~qE9s+=m{H+h;nWGEXyuTUo7TcjHQhd8$V47k!CZs>yF>>U|6TS{# z=*pXyqkh{yntDE~!}D_sIP>h8fD5l61HSjKw(#|T`j#nMs^Q_ohoG8JO&l?;a`{x3 z_+q)Vr)GWJ9QfvgSXe$p4)aI5So-+MF!2U{YaQp}*aQF;k1ye)b6xz=#wu3s+=5fK z$HZ)8@$Q8EBLUxcdfR%&Z7D_nk@-YQPz(fci!1{}LGK%C*CZZ&MAT3ayEWFa^8z`^Kr|YH{zW zkm%vTZ{P@U4pfh#k>am591QvYYV40j_{sm^C-L<+ejlHH>C@1h>!3uhk@u%dRdE?9 z85uAql>DM#9OYZT17c#*Tlq5IRh4wVP`=6W=Vm{-5^&>wRH}UXH)Exz)?T@B)z6AF z9nHo52gMq1wi-8Z)yrh-8dN(>965rzt}&`>Kij9`n`Zj_iSCmri52K)m@1tr>__A=|fK-AstHBO6N}Hkbo+{nBZlo(>89Gi~*QiJS zi@5LSN(7Ae#1RnuM$eUl;Tz01SRNLE)FGyJrMKO~4|aDj{gcz6hY#a2Bd7S*Rp|c1 z`!Tk{bV&JXOd?c`hvJ*mN!6NaO&|Y`r&tCSWhGe3w=~xjAZC}bvE_oj?H&dX`shrx zW53zo-^WDNlAsVl1DJNrH~%l#U!Qaoyx9l2Ap2G|VR*7K@|EQS<~*#EFhswvd%X5O zwuaJRT521w-}x8Ka$q2MQw;cYY3EobN{}S@>pGgaNo{|HL{1GI!|FE~{idu||F0yR z1fOVkQeKi8(!2Vem7egL;~m~~h;8b9U4>Gq7xDh%;q5@G4W_$^&x7&y0Yd(6+G}Gl zs-CA2QmGHe4~va)fv>3o@jlUs4CIXz?=%qCGeS!~uG~J7ceH2ak^ppTY$GGyF7oJ0 zYD2`0IVq4BDw&+;kjBOgkx0two$#XcfE_WB<$jD#q;fe7-qE?}R^onC&3H3*2gT?b z?;ssRNJSQJ8C+Q%wAykI-a@aY)$=Ax*KJ~1`akP;e>jLIUQ$vH=6BA0oFQ%~wmq^5 z?<&a1vE7y?GAogLrh~4+m@IOgdZ4r|vMNx(Ti*4n76$8gac|>pe5W(j!H$}_Ws`#1 zFZuu9zHm=i#Y>n!DluVvpViPg5V?2?Z=BJj%GNdcEnoKEkF(uMW3u*i$2f}q z?v8rylf0YTy_j490iCH?y!FQKVX)T^v+aV6$?yLbV^t$ChyLykT9yA)$Fb_kPb|e` zjlP;}A4@Le0>x$ou>1+D{-oOHUw*zgChJVK*}Mp^b&dVHF2`(vh^t@a{T9Wxax#cx zHa;lO>USA$%~5BngV(=&-GJzvm;Z$@RvR}ao0)3+6Ps&7D@@$bOWzL~leMR2Grp5X z;2>M!c-xZ4stj0Xs*UG=;S8Sn@H05~TVLcZ;N_xyh6Bz#dj?A%Kj{;Jwd5a|hjYL6 z>)By7`kJ4c$KUxc{wuuy@O|(KAb_yCzKSb1u4Ls`?yO+>)ENMTdmC#>VqIT4CQ>mQ zT!y17yk{+peyVM98Z)JqCLfb&J)Tb!YBS>ag@x*nTW&<@{TT6{d{bnro873)cbW3!^#QKttia3M}Qv|6o5U&!f% z*;^{$a*9tSz*gljq6$Qui15!He+8-u`=dJSL04gBs%>a4<1kDo(k)0*b$nMvLxGww8ttRiYQ;fP;tyk$`YQq7w4tO8q|*2KpNJ_Hi)7`z(et(L z4tH?v#${Xx)0rJ~pd6H^au|(N@+AOS5#Yi;gN}gxdLPw<@QunZosJvskNkA!t?kXs z{Mawj%2B}f<@5`L=FVa!JyqnKKKJh)7H@r-Ffsgb>l?RF6XDFM7tmsZPCz}9_u}L= z6CnAr^(dSRtOnN{AdKodB%Q0U*P;Y^{b4_I7{-Q#zpHR!9$&rf9=5i7SorXQm6~&? zozMm6`#=?fB{s6YpI2~U`6ir0EA$tTO5j13R2#X)Hc3B-Px`|FR_?6e+$`%25LueuENBG=UZf)f3tJ00##wgBFmzxd|wR*)A&T~2+sL^ z@i?GMhQ5i#(t(Cf-inv@0a+sIqU>{r&D47RZmOd&yCm+_0aYfwwD1F0+-IC_Ih1C5 zUR=U)Fy4R+NCCt+py^8OxqpYkhcVGKju~{=rFD>fxZqVTTd8LSZo5@;)L6QT*; z{9!kV*^JGJZCdY|s$U*k<#;PK&l7HJ!=1FN?T0DIJu8KY?Jz7qis}sSJc+9a1;=aF zit+C>5$f;jd#cYB`m|oYDY14LZ!YKk5=DNcD@Vy18kxs&F?uP+)cQ(r8Vpko#diUy zWKKQ)hAaox5=>n$A=^CUx$YH+4+_*8>%uj}8)FDaQ-`jy$LEC3F;yfm6hdl<5 z9GSrWzK>PY{VxH(w7PN*Q~_v+RefJ1P(8v&pZ+M$Jof@t?tCqJN4`2!9b942SJmn?UfBC$8PN98V`N z?c>RDMP`-Q7&Q9trkKs*EeTouHvbkHH2J9>H^otD+@O6@DMnv9zJ#S?i)hcZvGR=- z+*`jJ-?kR7G;6SI^Zmp8Bi~`K$DWCocJ;IaqyzHwSsn;^F;r1_)|usjLlBzdahr9p z{Eq%gR7@_#rhK9O{e4Ng0nvaN9@N(~pTO|&^X8s>Kn4de`=b#M6KB5s$ZtA@?pznW z?Jd)pxINiJ*()s1?5jun;AM;^BubP<%R*mAT2=TOBz!;I-;c}rKzR^rzm5o{n&ze} z#XGhE-gAj5mC%CA%1lRg`h>NOyO^13WBF4r_%~|_4*e8o2mS;?@L#f8u7xA53J>f3 zI6=XY!~XsVt+3n^6zU3%_wH|oS$Mhmu>A4Urh7IpL{dKOU#U4r>y5P*@n)O~)N&?( z6$ijucUIuw{Kmje>p zIX(`At#^Cq4+nk;L#Q(b8{j0GmzmuM-IuM2;6|fpyS&^gpmKiy5lZ;5%LnxU03ZNK zL_t&z_94|jqiaCKn-3u%xS%&Kju8lmU-x9TWwQ;|vPhpr^J4D!zk1s}T)A--7hk;S zaS1lu(I_NOnQs@8p^9$+E((t2NT})>t%(+D2h`w`cKNhn>#FlXw2SmL>UcW+M7_^R z4~syO1RN_ZU1zG_LbLRf+(JaWGrP^PUa5zaJhQb{CHhLW9Tb2F=hpsJE*yxUmmsRy zhq1k6S#er7+ZPEaCGaaHO-S9zV~ap*0dJuzwdo}tDB+#kJ&iZNA+b<8jg;TbfsXYQ zu_)tR{!3`ocxU0Wb|=O6tXBgHcsEd_@z(eXCl*tiEY$;U#OIbm+h$3>qZQ+ioBFm9 zXZRy=Vr-++8jbG{N=tMQ-l_(QzmgxNw$f|7J(p+AF}lPnX#K?XO8O%k#=zj(`YJ%c&wcC! zdfPp`^@ndM?o+%8TicuXXgIjS!5|i*+ zcmDJ{c;+KN6CZUZ+gLuejI*CQi_!kbO3FEOk9KkScP_`-dM?{+d#IZ$e-rq!Td&`W;I1#C1)iW=D2D`%@(}#SF?sA!f@eY)7%oYxPQ*cOGg?H5B zU_V}e<#lwAF2GN05#C?=t(Qz9w5apuo(suahn-zs_N!@c9+S1F+NkRq2p^m4fEOV8 z4RUBtb$mHjMZUlF&Rxt-ckol4pTf*!s3*KQ8r3*)YzZshxCu(yzxaiV=+1e*`GGkZ zng~?a=*)C*_ERqa&f(TKZbiNsm-(l=evDB|AD0OgCH)q^RvMErF`cPSa(D*?+RBzv zEVZ@oQQp~PD<0L_$Sb2YYm3H|ph7u*lGEKi+Qp?WT#Vz%k*qSGZD*^ z2J)-v{$Z|$g+>QoR}{yIv5yAT8vgnLnWel4%fT zHcEeQCmej>m4bk%SVZtM^lFMH#l{4iI$#2DsNtevs_RkQ43q;v{+i-9_G|&<=l$@W zPb>rGwjaLo_zpuHO9lg2gX$4LyqAIwSz@!r4(mYpv%wGiRBBFcgbiBxixk0U=SzmH zjJnjVktyPx;KckE@eYzjQY#3!eP;zLe{fSBYT>D<$uE_R2?+9-jQ0028rA*~3+B73 z00Kr~2{aV8-}%$;;#^!toAa9w%=|y)!AZ%nS-jKXtqnv}@S=aI&rA7$Q@_uzi2Oub zf!lX(;?_5AhA)Ixe{1f$VEu4GAL`iGDYhS|b%5i34vN8A|G@xP-@J^?u<3IN?^XeC zJp*8E#M)F6AtwO z*oH9PjOkANf=x2RBGKy4P>9u|;bU>#;Gq<+yT>jyH3XOQ3|e_!u2ujPqw)lkMSh zzbR(36$HG|q~i^EQ#0Pu65HJ}<$qnqWVR;8JLjeutp>}?SSx#ZZ{sd5UVb?w@HG22 zW+OOUy>S&QZ?9nU{w6NpxQu%neDH^G+#e2b{wwFP`Tg%U$8740fS;5xLVvFx?H=QO z{i|1GOr~SiQEkqu=kaBGs)L32f!ov5nVLae`xPC$SNqz=Dtg`W(%RdA(LaeEN&O zgq^*<#Hy~s%;XGC99uGSTsppl`MCwlci3l-MPI|ky|n9kgr#GPSUB1>T%0(zgwD)t z;M>!*7M9448-pJ-CR_UWN&Nml{yn_@<=64`*MAq$tT~BwI#X?&e)bF&k1r*7=QD-* zUMXf{H1aW-2CvyB=<8ANb|7@0o{w@TBFw6N2@zwq(xs!koCg1+!CxHWsx%vq{$DUI z#949Dj|yVb#8Yti96aWZTbJf58t>?Ub?_)IP>YQRvd&4He8p3NjP5DdA7&xRS90&p zOwvNV7H7DHA1);Bcyd_fsyHa6U{FO?a(^)FW9!|Xj*>$gE+!lAZmg=e5Divr-gFuX ze7^NBzNNnEk>P=VZ{7cHtlJsM)Oott?6+^5ku`zi3A35nJ_Cr6xfXROS3{u4`>$6{pXC z+HAlovXB<*1aGrXO$ci5zO#y3cWzm!k?Ql|L0#7|LC?=^yMfCvqfiI@&iT!%55!sr zqM^pE?JZpW>Q$d$Pl)7GWeIAje(ZdKS63-*B_IS0+(Aox_p|*4n=c*&^|pK7K5--n zn=m5)TiZQxtPQhgBL7OinU?Y(%Ku*5SjFL-}U}Or2UxXWo(=a3H*?Z zG+kv>RBhKLlopWgl8~0}P!L2)k?sZwhZ2VFE&=K8?ihiQ4(V>3fgz-a?)c90t@Zxn z2W!?GxX-@#zG`o&$>-CWga8V#ZB#W3%57=WFywP+b?8rzVxc?9=2FiWb|}52_K78m z_GJaho4%^0bm!uAf9FhAs-g%D+Mx4yAymzuP#*Xd_z5w*Q&jBk0iKZZEQ4DAeMz+{!YPGTiD&{pM~c` znPD1NKG3RTR)d0aEmgH;g0VF**hIZrQo+}Uf}}`Q-Ze)H%7Ks*g{*v6)0mo*Z(WfF z>X^D>K)v{)9ivYC&@z?sxP{{*ETd|lz*7)5^c;)o1Op`%A6#5Z9{~}kDcrs7vf#Ic zx_YOtt6D)X`qe95n^@KI`?gRBy+HFxx)GDc-b?#ix~TuI@pXJ zNE^eieMkao%^h4wIkc5xkY}8+N<|6m{J0i#PB~aX%5aj$AGfMalk{>wpVvT(6d3D& zxo>=oSy&oz|3_BmW=Qnm*;9V1sm0vHeGl&S&T5d%+th&cA-ya=cgh9h*0_EP<@Dz+ z$5zv6)gfw8BB=(V%l%90*%wU}y3If<1(~VuN*f78gOeH($^R78?HtsxscXM?U^~tApo)w$iB-R?7{Pmw49L~=Cb-!&@6-rsQ zjMc)n!e=(HaNuTea>%#53{$x#r@I!nRdIiG z&vd+9;y3gx_4vyrX7`(1&r7$wSF=@g$nTx(}y3RLM^7I0C z?$7-0#Sz zmjVrfMAm5KlQk(uF#g=LJ45PPI-+JuQ|hnl-xuA2 zoFl@^u$kO@oQCgP09WaDZVu)I#k_BWM`w8RMLT~$^d&BioXNb(uC}1Kq7(DF3zg{A z6~-^{4GVx${KuM_brMA>H<#CJz_@SsbFmoa1jsQYBEYpZwF53$Sws)>88vsYh_@p( zs7S$c`vzhS@e+P2WhJTUvnH9QW+mE;@#(vA?rMawRRMw4++oG?aj%icHGo6ok zC6}@G>m3NpWFA)Zb9M=RKe87mQ*l|zu?-a|N%!dz%&@J=H`O|lN-sf!r7rg#~%d2P+b~Nc&^%ZeTGyucurOsAyQx=zb*{eCi zm(ukP@Gz~vRz3~XN`A41` zK`7UjJEn^S$^!&+Nn)ZhN%4-XFAcX4W<*|q;ApQ*#1$@!#c7M+uH_A0XSjU2DKy&M zk3!Dy`m+u=*aP_f-C-tpKNgN@_ttBDCuj*wcDzk>nYX%Eomro{2CpOOdqpc-cCB)>0$aT<*8lVAR z5cK>(>ZCqNSF(iyonhy3$?iZV;OJ~^Gp%M7d%E1w&=l|ne%v0ip<++simbj8a z=Z1=@7NlDFcsj7)=t=dq`l6fF$>rk($^_$9|5MAQ;d5rbUyWPoRBCbQA!+ipm98ApJuW>YDA~=LZXEFw!+1iKMc!W_C)zGPbdoz7t&)1^E7Xrc7XAs-8A%o z>6VbyXmZvHUb?Bb{BN3g?TcMAO$>4b?6$XJ&SAh0z0CuN3b|yjR1NkFvZOVMbq4Q+ z;V%Ss8aGX);=h1l;lVT1IOvWE%dCQFu|i}eAnwIY3Al= z@gkc3iP^eT!dA;~CE=zuv@0xic)Tti_J9O79x)D7+}+)oDVKh##Ptoozx;%&*uM8h zwm;XE)tdT13BlP<{ez%}_vw_SlS}A;Vpt&e!JR8!oYnQj6!RZ|yD+ix18iNtH;HWs ze5Fn1U{F4l`RQSgxuh1PCaq1P97Ap=*F-!%k3<** z7`gxk&X=8?^Sm2GK7fsEkRtcG@wBnit|K_Tb@nXQc{$v4DX7Qb* zGr!cT-&8Z`vyX8S%{SA|&q{fNCjN#R!6)(CzWev5KTLWk$j%NSI`^ZH)*6$4T!r;N zf?<&EOlODiu^}i?9ds>dN_$MC$R1<~|R1T{LHHnl}7W=|) z@J8rzz~^!$DEW!@_hnfTM>(&~(Tr)-4>y(H_^=qM!*hI#r$+lq5|2aLJZq4AeJ~DXBI>{EoG^ zrHM3iuqDT<fW>5V?S%!XoZ6W|15lTv%Bo^J>;IFxN_;2fb<_aTNXv=hhLx7qvIbD(O^PgaGl|AY}- z=8xG-Uv~>PK~pqOf~wLko{i5i2cEOumpoLG;}A{FDNZyLB(@{zVt7hWuy2c0ITqtq1hm^`9*dt++uLD z*oQk|{Xto92U;T2xR3(?pAyVi1U1yWYm4 z#4;ZpxW+9pli@kG^DE5L3HR-&4exgQ+95UC2-<)zE%bBX`G@a#8PZP`=(e;x@51?PGDCsNm{lTY4W-qw&epg%&mM%FEKh(rBx>DEc z|F_h^yZA%F+DQb$G6(?Ln`2Suh3D6}EuWLTRvNSfZcfoBAP3sljxu;1Md#Ze>>9u> z2SKj(aCTls#T#Vx&QaG!_GQt3`P-pN?$Mhiz zqArf{GrJc0(`}ZB5v``)zhd}Ffdfbqx+SOx7U8P#xmWH3Z;Tj_|5=?5iIYb>C5P2qyE(Y^6 zpt{jjV2-pvv7NZK)YsB-!e693=#!3=y=&Hi$$ET)EvUQ#9C6{YD34W7we`2-5GRQ& zFHZNEg33QHvG@rVD_MN{XM7&`&3U6M&?X*C2Vy~nen(vHazW$f2eqj({_LYT4i#FP$Gh%e&yYtq-93B#I3i&+}=k^_(SHYjM?SMY;{w;GwE;ZER!-^z^jWC5| zOX_a;mf~+#y8f5enxwGr>;6O^i#`s-B;B5B^p9&My>Kx9{gxWRFKdCdRJ7YdBE`PD zXY^OwU$o2ALUqiceta7Cl}wpxZ-B)Rzl3i8*FBO$g&ot9!`HtyK3i(H3i0~Sr1h?o zMW+Ulp)TTsp2A8$oZXA;c&95eIOJd@%Tv8w{7}{xQHF~Aq^Ho`|XFx7k7)x zkKdX&sOn4lg7HZXu3A~eMDPTgm0W*VMO1_l!FZB>r4-SvmCWu7>fTHT<|`ky$Fya& z|94(4XuD877LZKWW_5JY&oqBfTd`jZCo)h6f!p6YF$WfH*S({K4V`R{>?8kPZ@oWD zGkJ?UgpBsQJ6!qLF+Q2vl7e9lKs z+YrOnp97DgDwpoC-Q)H(EQx{q>F@6gr`uudaCG#pf#0i95DFd2k>hw%ie2P*8uqP} zBsu!Cm!zffetHG_+O8qNGGRH-a%Lln4_-LF9dq0SD|a%k$Zn9{ZBsx)Qv?sVuEk3e zDc{qkc2p(#dS>Dvi*k!o2ikwWnFQkw&v(+qK>Ezi)4(ff3MXln>2B~EBA5`JaoqQV zSI#9Og2wEQ8OSDYTOWSZJiw9#@P^L{sDxrs7NR)0FRv8+atGQJzHegBZej*K?LUEE z_&?Ei#AN@L#*~R@1OO!huTd;k|R952JfAV>K%Ki zqfD&O6GZ``DA8AW=vG+V;dee}!Ck6D$;orQxPZ7RROZHMu3VzVV!9vx`>)@f8Ge`- z+7V&EZuH?D^ckq#Qv0~4oFY_m`GF~C5a=attDo*Z#|*hWE%0Ufx{E@a9WGj^oUEV( zQB$EfTD;PWX)&fJYWu@eoVwzd>Zr)-x(4}=f4nRAJ*L__BX0^Pt_k`HZuwbpn=V_t z!H@SgPLHV*8?XHL3&DsXwgC{(M;fhwG1I;J%}D`}9Y3^$n|yxGU@P?_4rhK{96IA6Et35Q|J$ex$`TD$fJ#n)JDk z1E-<~Q=In4al#1PWjEgdYB7Mny*}WTjGrn~04yUF+PL^3N%S(m`J%L zMUfkinaHRlh*gIgzC8xW$lf$ZT7BnBTqBk^OC{?#S*Mk zjBdHW(;6>Wf5>8k@|Dnntf9qzv5=JE!gcOIl{g6WNZBjfL+La>#N)ybO(O%I+El?;3g6nu>*=@U1De^WKoR#icXY){oPSNYV6q(S{DyHe zAlmZ}QbGYy03<9nUW*6nZxqJ>k4gYDhyM4Q}VjAz{jGVfIq&>hmZ+1gXrjkdXcuj;@eHwotr!a*#E8+!Ue<I`5!Joq?;`NwV;MjAJoHLVX|$q$(;0l;L1~93#@D0*ZEu|9KyHn7%oSJE@N-j zPMdFhK+>Hr0U=C5C9bG=4#O#h{0>c)fRMz-3;(Ar^v=XbJ6tHMAOn;@3jV*)G4o_r zW0hL}@daBoMRwwx1Tkh|9I_32o;9q7M zo&9$*e0(P1)Qd18w^peo{85R3tc=iq#dVDfgXfzuxq51kggCw@4GSEThFYX?nvXxE z$nnv4RXir!d9ggK#UEw)Q(8AD1B2we?Z|w-p%7=UxUMbh)UJ&-+Blus$V7I-P%4qD zFO*#akEUv5+}>xg=h#7jo;qOcF0)?fUE0O&Y+vUONf`Vp^7pXTOCA}C>4_Sj2N7dM zz=q|STLyJ>mDOrSsd)Q1l2iIu?BKM#Bb+8_my`8CdPiU1XOh_%x`~_8>4xcA@$-c) zG-0Z_%ZHJ@U5CNQg#lywNee#!;LPEXP2KfxAvG^2Stl^e%2Cg} z2EFu4K95?l`Q@>cW3^gm@ZFk%ghNebpW-|3J~K(!=Xm~#>8>ym{BpVYStjyZR4_V< zlsL@dLZY=P>th=$*qh@fWQ68R>97G#lXcNOlP72KDB{Hrm-)6Lg~|Dfmo2D52ArjP z6SYLJpsLy8a=LI2v1C#Mc7)@h?dCMV$cUW#T`Uy`za1;iSEMx-aL?uXd9v+3u%!HV zKN>8jhP3-8Q*3x8!cF}5%mHWIxZFA+kt5NhEz428j1%8+V2wN2UShPRso34!u&Urn z^rE=3&rbP%K=^MlkOca zk(*}AY=a0|pRoB4Uj-g)nYnGDTX9V}%Ceseq(64|5zIqU?50<3sg=X_SqYMq7zZ=| zt}>pC`?K(^yow*%BLFmQ2i!b69+kJjk&ZWPdfDFzQ6wti> z_fsu&EOOrP-QzN}GZN6(nga873joi}XOqr!@cad=;TOD}s7yWKIX*T+9i12}jOGE?+HDtQIF;Gw=J^0ucem(&mW<{dC4bQ1 z@yBCKpI_RcZLG87kU=How?FFK9HwTzEUcm9-_yBwggn`9CV}xo+T5Mnb4fs*ol=24 zjKmM?HQnB>-neJ#6Txd&S(P-C+56`Ee^m)@ie~3TYS)xIy|BxCn0UXn)^!rtHUH$s zsV3@vnM=9mwD~7w7u6|b+-=Z;w!zLP$fW`fj3@uO7EPXdS9EXt*!U!7PB4oPF6uqu zyxGz>ku3E=&l*LT7y7)H(YnKl5sHKQv=rd2fvvK7pz+$g8hI7aW1WVN607i%SIV_s zffipNAEQwBm^#vkCHcoa!$P0MLS9qcDEHQOmbs)`DbCOAXB!Ne3#X~{eDA*g`e!*$ zuOaf;WyNI(MrTzxy1)KC!Xezob0;mjm@tj7VD7aT3nq>Ugxko$x>>2odbRDNXj#1b z*e{n%6wAiN5V^xF4H*6&Ul50dIdP>mMGt=1xrnH0OmrNx(6xA;%FWsLQnmgRAUPjX zXVFEX7(V|6h?HHtRnOtc*>Qjf?r81 zoy^~6Pa9!UGu$=ULY0Qt@(U;9tC@=?80HJV5J8)2Lm)4L&JS7T#vuq}i$CZDBk@T8 z`)}AFzqFFq8pboT&Q!O_7ws_+>~k7~22+VasbG)BuMV+IXe zOAWX$tl?pQEfiK(G$}AZp`k4!?h3Jv$_D(gz{--JRx1ionZ;lBGc^+~Q4$=7t6{I? zQxXzCHoeqP6I6%=yH*xSWo`|!Hac>i!q$)HrQql51%ArhdQVR5Fpf9DbIpK50HmRz zKgn@%ndqp43}Z=9bxtdO5BbuQDZBZ-8tGHdj})oP^> z;UFG+)yu_}A}1~&42gNsfkSoiso`zs16->~-B@}I`?H)}5afb@9Tp`V>XCk=F`6>4 zvun_LxyhHgkV{dBIp5S$cfSu-T>ij5@2k2GNeah?ZBb|^a)kG`TedeO;`_5(G++o_ zk5DHu;xH!J^xf5Nrj5?h!N1n%b>5Lnur`YSgNWCArzM{ctoKBdLw$>>p0?rl@awCm zfzI$qwz#!ZB$Nv7xyqLwd?qlqhHx1nyMc{^wD4`4IYj@-lA5(hMGKUUn{JKc0OHo! zLZ>&`XV^@=m1mFn0!x?PhQv3No=&=H`{qvf%(uPLfLsGW&);43;`)_{Fy1nD(l^&a z1P*QR=kNS#GSSV}ZOH-ea<2v8enQK~DZzV&M|VC)*BtT}6Fsj2k@)G~{pr$J9V8Fk z?7Ic&Zx6t0p3#pudCx^_K%_-EXVxj#4c=y3DYNgCL10NI40;!#!`!ba{iY$e?Y>_y zuhNfJB|CoIzrR<^ej-%&*^#4&Rp|J3MEO{$-{&L$=gy{V@y_W`=t1_oeuU=#hnu ziN310{KLZ^enG>>0{#4EHTrf`;)l*<{vr{G9r6B`J zlqKap2EVxR>H%dFnkfkqXoyCT;mo+=lUku7e>?&N1hE7Tl)6(|jzZ6zH zl)+~L`*KCi`a@!exEZXRtz}u^T?VDhTrdVBRszx@p5~{xBrAt^Y?KE1wVEA&uFaPd zwXylw2gLu>2MuJhY@Cp#?+8m%z*s6n4?6OF@nE+vGRtf^SRDJeC=Qa6V^b(g=1X)E zR3gdcc}IqtNkCF^a>wr259W|B9S(-m3<9AdgcxOYVEeBzy#^~xhg!eV-~q6+JjeWN ze9x6Yr(GRh_B)QoAFrE`S@1q!wUL}w@gE#MB^xgc|0`m)<1zmZGAd19QA7}UF}NfR zrIAbTcwSq7!%<54+l*O`$VT<+JQAJmMh$GqmH5`8N1aDOGF3;@l-r3WbxJC{IIbC& z7~^I2vAx1%t-aihp-P$wvQ%~PI-A%1J6}CjW5u9c`E#tp$=`9XnI*_qQz=FEX;yP) z#H~-p;nz|wa%RTr+hzL)Wwo%{Fi-rFBkB(#-PE0XsxxL$8Vzs$FGF$ zb6m8xlEPk9{MVP-NJ9x%3hJ;8pZ`DIX2ruQe7d7yu4I-ySOaKZ*OVEYt! zTe5M{K<>=1hS2uPb1C)Js}_-sDlzg&J;{!c6;Q1_qZlEEw=W>2FawFv1^;sTp%^jM z`|GQF2{EGw%B5lSXDX#SNCaw@MO{()zsTzCJo`th^}4E) z=YtP*k^G6r{xtpScv+ZZi_8h~45s{<){U@AGnap>+ama)}kSwb`vD z-MK}7E%w%^N5YIbpR-zgek#DDh!f$+d4-xfYaaP+K*CB0*Illi3ecwla_`vZ0pQ_e z7%1XoJ~)L$1TK@6qp88L^pUfp_BhU0eMvrO^ye(tRVG@CJ^%t?*YXjulGj;ZQ)yid zXS?S#rbPan;fLLi4$tfJ-BpH&Fi3Onp(P_;D1XNW)#P(bK0`sEWldjX!JWa44zF_f z=<>ktSWML!wyrnpZmUn`-3D#29ko0Amwy&WZ1h?%3G$|TbC{lNu<^-U#XySX*EuBv zmI*4-7Jp@b7VJ>NP&ONy;(dbT_KQ4$=icOFQsMEkoo?3j8He{8SSv(^x>PIyaZY|R z>IbRk)b(onnS>6*`4(>9-lH1E#1jKJF=Cgw0tQ%Yf{S?!H!QGtdilJlUiIB9b10M^ zs6LPbmtXY*uB z$a#8)$sYClK6n>Ewmjr`730kDwt!d&{#Z14Q&*y3vBhzBceDKyH@hj$&Bx5mtFVu` z+^1Ltf`EDgC+YD&HPh@py!5;74KLGItdpRz1b8(|3FciCP1#jWl8X5EfHM6*w#@U6 zEbn+__2`CjjgrS0hKY5E*!b70J4aHyy6_6 zQ#M%=?cBNMb%}si_39&5%ITH=x35u1 z87J;a8blS(%xR1KGF@GU9xFxAtZ1%BT%#ar6fR=Z;#Cq9Gi0gW!>_nAt)UMFyXAJ) z+k^pJa)|UONg<QsJ_9X3ipka%EE1&RU>&L$?1hx!`0K!tKMN)ns=NWlNJwKrmIMU03>i*6lXGbj2A z{MdhI{V;SZQ0-0y`l{2>FyPyzdAG~Ou|79_@)}&~Yq;?ISjRY7Q0@Gc6s1O|Nsq*D zLu`wpW+I%cMOjV*Q@Xi{==QykN~*P@Ro74K}Rac#8Y)RdcD} z?kx!jMe@}iAlR+ge*H==Rc_1f_|!PTfzg_?>~$*7y6^Tvkxy|o0j z#T%5ecR|3jld`hvQ_O{QF5R$0ua#|_Hv9<$sBj|Xiw7`ptK-AF4OUv6Q)~L)L$NXR z8hqg;#s@0di(>`ScF$ z5W92-Zy!QL8sdg&GEvwcKV3ia^wz056 zs^9GbNQG73=0rQ*>_qw?ZIn%H_t>JL=#^9Kq0?lLh0L=xzz7GuxWpM)AKM!-7qwMs zX>lg7r@o3gv{!Fl2T7z>+N+(EwLJlXgy!@xaZ5k!)qfc#lxmrh#?-AF3qMSVmc+d6&9ah(YCMK>T`Mb{Q zUWW$q!3IMX9LE%2-2?Tps5utuolc zuL2NwM&wW7kKeq!>I{JxJ^+^{wfQ1?qw65CpXn-{KTeNKTab$%8s+k}@RXhunp&gA z_hkP<e<*9_9fBeB+El-K8Kn1~% zHrBJfG`6j{U{m&`W|adgnmkm6x9(vg@|Q0;UHHZkyotM~PT#uoXGe6UX;2td=yl%O zo(g?-t@zHd=XT(M<6i{+N4_!k(;o1o3g1Ma!B7kwr!tG;^`Ls=+W3@4FTe&9mdLsg z%dnqY%RBLj9{2tQ@N(kqwTKg*u}jKu$Vr{Dcw4X| z!|WnP>==7&_EtZIB`}<#Ph|Zt(O=n2<)iV^Q+=8%v>#18SkFALQBIf(#{9tMEs*bn z2QzF4f%wmj|6%Z}-F~cHw(H7NcTM_TPl3Cn!I-&DX=zh?0{(Xije+3i8AuHszMqw` zQ8M!s(;7w#>tDnH}?MPJsN(O|APq+ z;^}rn>)-%CQEfNddWu&H19tDX8{&is^LuwB!K>N5^8D_l&E2Ln6bGEtV=%Z=uy7yd zFD$H}emvC@;TzH4&QNQsdau>myFTVuGy4=EY5nSgXKf?sCy!YE>gAYR|ITx7vwL$F zAZd+&p_~*HA+2XSeW7{#d43>%(2|`MGkz!}tTW1jzn!S82yS^zZhr>0;LkIi8H^nw zGS=e{Ugz<;@=nX$FJP6K+1=7H5hp~__oq47{KCZSQ4`gh6N_?Afp~Pp;e-GJ4M4j# z@I`mTf*)<7qw?zNVV$gIIAjXG(hYdczIFNvTvn1GA&8`6u_$Llcy@BU+iEe| zg4>_#1+e8XrTMEY9!($TxsR(7+>p=CGS3C>YK0LQ{?U+QQG8^Yd=MA5<<*hQsT}w7RHF=M+T$j_|s^vc*nc!a>E26&c~XT;GAR^Avyl zI%!*K^86V{H-`kqR~FWjS)>B2Zxy?oe)~3~X@fx$@nTMd2}VNep{izCjyX_dRr+<> zWRPp3?P-D8ppcl37Wwn+!>a%irIITKsNU&z8Y#yN!@4G{v-O2y%CI%S2EC-=$X;Yk zD4aJUX0l7l!~Pts@b6i<0|EJ<@`wA*jgyqC_vY>q%;0b$98e|v-Fp@3*MYk2=?K*> zQK+7K_oW^+2U2ql)dHMpYK?IAbhMc+A{yyuXOAGrpCoXw?Ye zUbOoLrug2|4v`6d$K?=8|D(D&2v$bp?UqPCE!uipXNGyz?F>GX0!;+xmU7JR^bbUK zk$|eB=iLK~6pO@5{JCX3$ehcGp9!6gV^t@_D=P}kkO^|&W$7nJUuMhb%gp8U%iLq8 z0vpXe<0wOa7fB_wbCV~TZ5)SPJB0>($i?qkS+u-U*>Ap=kXA^gY`}(@l_bT5TY`9V zrUq=4;>BMp@FEVU{Sxn|_t>|K_!O>`>-W-jM$Ltt=*dc#X$mE%3#sqWzwjgBWFQ`^ zWu~%DF76YNtqDI#){%#q^+8KvYvrn4liM^E?PZ3$1wB3`?{M0rz>&utfQ4Ge2h3JM zp@nBovXaj>Y7uE%IVw@7X;l&slz;bMtJQ%JmkgT6$ERa^Gmungi0c~~Q^$v+K#PWM z&U|vsbKe_%F9|M?33(0+J zu8)`M;CcR^0GHp_=**sUNrd~vM=mA7a} z68TW+MCVfHd>r`NBQ1}MM2)53xIgl>O1kC7dj=dElE}Y87mBaSH;Fqh!-VDaX3#So ziwe=bv^@mCN;E7cHzm#Zl1cBghzMRAvA#;d^uW3pVI@9N*K@H* zDjQ7WafUeLOU7gVHPnq!6JC( zuT$2$;7NqXhXLZ2(1nWqQaN#tn?y6q&GvO_*v(O7fwsm2+zXi6h#_xl&ywKvU9t>8 z+vZ5;^kD&nqZj@yH zrP;Pa*a=p6u-5DA_9ceB4L--C3k??iyx$}Q8*LxVB!WJF+Ufq|kDc-Y?#b{Z3O-BX zkk$!b8hMJ8EgLu-3@u`c7Cyi}{Jos&F=z8UV0xnWR?{W4=5eGl->rh{|F-bN^5P|0mqf zW-pQVJLO{?`(uowM~b3q_@XNV597BkYC?Z1tAzEjx1V3vKuR%3YNk%FY?DGeW__>37$bi95?IDQ-q6IeLaD+GC*R!Yk zt0Oaa+PUl<(BVvVD)&l*!Cp$85@OWngRrjS8Y}!apQmh{YR6E27qevqw<}v0bBJV2 zz0$isgFgt(Y53EBydnOxYQMxR!W`fWa$#!$r&tc5>2iI#7v4{kHCQ%Yf}G}x1F zKcd&FoG-M?JxX!lqCP|>-DdgP=~OrS?d!F>JI494hl#vBwr*S5S$nRi=n+?5G{FX) zq3}#3cPUgIiLhD>6@hH}^wQ)0+hNMBp)v9ff9~qGKrjn}MJJ1SMS8sO{@UxT$;$f6 zq#g)BgH*E4K>b(j`CA@&py)YgLg@$)pP2X-Dw$r=)X9P+jf~F`)Y}VfT2rd`(p<6hjA-TThy=^ zT;VtiHzTiodldJ>^e!7DlvD&pLoe0R)_+N-n3j>#75=m-Zg`gBA&20eA=EUCKm9sQ zo!#=+GjoscHe~|l%*pexzL1xV{)VK^?5~&MO&ez*q3h-s?VO<2miU%PVpCM-;>?;M zu#l0g8_lz|9#!(S7711r)f93pLRtB=WdW-L6qJE+s%TC+Exgi_-O(xRpPpOP-ihiQ zcO}=gU&9JUu^Vs*h3R)IhN`yg+N(t)S!m=2XWk|VKD%N05s~MngNDJ0!-nnE(lHje z(#VM~CrroFOC-@J+&p1DIR0v?61QkoI0ChKQuznFgzK-VvL9BrYVVYPG_DybLW8%S z8Q)qj$NYE!Z=O?;4~iCLS|4JQd>>%-wQ}vlklESVEgwbY4`D_jKvtu}zGKQeuBqSH zc#it+2t|9~&w1!US@X|B&V5?gZViEqMk3Om2MsCtk>_1dVst;s9?e^{TEEtAjT383 zTdPJwm}ZVMc%QcQ%u-dDK9{JXH_ZZt?L6!CvtY=lg<6E_WE}js+hexDcSUeXJu~2k z&To0>h$5bT^1Ws5my_hr3V*@g@KRzNBd{*i(WT36uaE0&z{Lf;GQ^ax6_lEl;D>d( zL-X_!dZ?-J9K=g05>B^#1co>v)|1gHLQs^>4Pb&js(F z(MYWhW^ALdUgB>wkyjZL!CGIlzIFT75l4jF}+x@BCUr z^<}V7z(|Rj?tPfw^QyXpFNWN6_ltEdrhZI8j)|rZGq(c&oJwv*F~tLsCv*` zT+-}HL>S$LofMGQcF7|kVIrMNd>cgroAui^+fG!pJ+mE*SuN|D0`9T&Qu&Oam&)_9 zjEF$FrPTQYZ+4-jRh1~(3Yo}teQg$)tbVf6G-78xV(@sFk)~^Jqh?4!d)(CeN#%gI zO2Jt&7bsP|{j-))IUpO=ZP#;f>a*m6W;I#R{3?zwJpRgo29h9jGiEi@sbdrat$Oz+o_D zp;NDnWi12pfYSnf90Tf2`qd=0LA$g8<4c5eXx#6qgwG)@vFut=I!WHFFJSr|o(8e! z{Kn8=uZhY;Lacr>j$Zw9QKQKGklUQ0@@TpV~P~A zKe;Fy!wg)y&%#9K~G@m!2l^jwxb9e=(e88@s;1qdC;#6bHr!8k;4>!R6(3C zEiwH`OGk@_bf6Q9tUELWV{Cq-%S)_h@G^(v6nhd4>v_lvEa8pFtBhpO@4qAp>Xq2E zci0K6diQJ#;&r3A%>_HvV|9(_PZ#-~{9>AWEPXHF`N{Ua6pX*-Te%mG;Tv730Frq@ zK<4cQN|7So45(pOOaM#J4!hkrR6xb|`~{3DAElqO?KtkCooZNvqAKns)Hhm9`y?)% znD8CUcJ64`rfjzfR3!hNZHS6kBeHN{9vNO#I}H}8tBiAlYZo$dz7)HrfT9|y7(mlm z|7NOKpI$)q-;KO^)*Q8Z6O9S9sjyh9H!^5S!Yh^*(3;K9EwjGdJEn+ zCQ3$G9XM{|W$6t)#%Elf&i7S3zW#f9-31E|f8+J2U!~tTy%=0t-Y1bGLmPe^ZvqQe z)L7XK&+Wn;ROIv7Yruic=gJ!geT1T`4Km*MW_yQrWZyZDkIY+uw|=pUyK@>0gliPxit+O|-eW#Y%k7d4zn%_!X6}XZGLP*T?+ho9( zJol0NNN*nT(sxOlbS$s}TzII_;^mWzKW5$64gMyZP84z1M3_16tz&^O;+d&A8vV^G z7k+K}pp2h8mQIJW%%dOD!-`kG5j2)Jcz4pyc8r;uIo4_7bHeD;`1c3(5}3>zD{xXd zUe62qJtv<#^-!WHk2tzeTU*VN9Es4*VR(tXqV&Eexwezvc!iLPX(fCW5r0z7*hCZZ z^Dog$FD2zd=Yg-1#{K6(Q+)UB^qxYGx0jAl@q+ROYS{I@kmcN@0k#$pquBIRIvD}{ zMwD)aw3gD?8H?BNrW|b7pW*YOmdBh1Wr~^~j49ddPv(CocEa7uiN8Mhc=hF&_fB0g z_v|@>+JIeW_;>jSA|`EtJDcMjqjpt)@(u)^kfL_A>w@Ti61mZ28<;9UHQ9|Dh}kgmr{};Pg`^L z^&ZymQ@l+RlCIP86oPNvoV@1GMIMg*?)#Eo{qp|zvA#N{rhf%)i-M)DM$^;h>+Rz2 zO%IC~F%%1G_ox{rL*wdTUAekuJn;NrKA03Q6^Zm7(?9qqk}PS$g3-d7c{?C|@U$Ix zf5%emL!_uMZx+Os$jFm^g3)H*FnE;HC2|>E+jbgzcbx3Y+r*tam7x+*v$Z3cP|9+y zGH0o8@jiUR*-%LD$G?|kT1n5ViJjj&dBi=g)($P7{}vGpS-0w4{0&+L7nWACW-bbl zdVl<`C8ekiZp+}3F1ce|bsNz(beUqIfD6Wbx8J}OV=ZMnX}*J43 z`PT{)RQ+IA#kVZH>{FJg);*VI(w1#`j!QWgiF8RXa--w=m9ADLt^CwW!C8Ha`~c~8tP2WF ze1&EA!1Md>nm59K^Bv2^&@4meK5_~abrBY4hoQZp_o_&4%aEVkexW%TSrSkbo7&~! zRxKBu$)FTiAfM{Z2L~4i?iJ(o&5ai~6@463p$Vnc)wZ1uXX7<^8kNhW9B?aIjfs(;=aSU24aC|6Hu)JyAX66bbXQ$!u{W>};QHgYz_2&1O z|EdG+>fHY7Gow7sydPQrGoam}Hk9)Y^6=e*6{7swIm^Ac^T%%wAP=48FL`!Ft}s<*E;KcZr*`ei!snN30%^6VA?k-5YgctNJJIm>Og)X{j+cMuW5 zdLXBCK>?-qPK(6o+>QIA;J{E=ip8W?C4z;076-zsw3zzMZv+%~>o5mY3L)hTu>Q>0 zJsxM|4Ak8#Oq28f(#Wt9SkwOfBeNHSDW?WpwpX&6pE)Ml2eIga`u@g$ylkPg4`t7O zzy5aXDOO=H1X&wqjv{<>HjrzA@EHgJ`2E0eJO>c1M>fb8FuQTpuUYOJ@0V(*?I>Fe z2d#9A#|NGV{W>_$6QGyFinyPt+^%L5s0<`B+&sjVIs@pCw@?6nZ%-mURApT_V1K%G z?Qi1}c>dW@JL7)_n-WbGgfHJ1uWg_aG7N^9N1Iu5g?MQsdom~7BEP|Af*ac{!7wfGVSF9x`Gdp6Ga}08`^l4(U5a zp2`l9C|H@`Z4xu!b7XQED6Yi~8e87vUG7AqManszflpYbEK^L*3O~q!k^lW2Igg1_ zY`w`R>u-lQfHi{c#xkry_boUlh^5jil$IDZq5|Q!k1fS?1d;vcgGD>_b37muVYsw! zfe-2FioVU+*tg$@%f1|OU+MaP=hXV_CBo-~bd<~0q_Gz0_UT^ zz6dtpbkODLpI6!7r&~K1N8ELC(Hm0W`bJ?$u+CuK;F4{lQkXR1%5$CskgU=^-{=pA zZqv1nx-W2}Dys)Abgkx?m zCxP-{)-MIFsTmp&PTslxn{;%ZC-@ep);o@Mz)tE!Y{lQ~?NNiR$ueAU^F%>vcKoO3 z($rT8NaYg^;3-DbK4bUJy3r(!?BmZHQXz2p9JJVgnHTF@OOO z!H=-EG~VW8NmFKS+ahM7eKkBp7l&e;ED|AO?_lycE@~>Ycd#t(n1SDWY_&H9tp>@u z?D9TqsQ(v=<+9}7Q)n#dfx!hP7eEc*><)d8IDIwW?n5i5PKarLYaD|8R4fLN~;6n-_!2G`@bq7AwLlQdD!Zn$a{VlvzzfhJdYIX^Q;mqK!&NR;u^Mp>Uqj z8lNRK)Z!|MLN}q6=3{Wf#g-+1$SJua0?aLZK^o0Gz=A4gQb>7tEG&IBci(b4{P=o6 z62Z$TsmCAxDpjz!wf{6Ose5!7K$x0p*+3!DyNt9n?iFqrjx#D)l&Dp>Y1H- zc0?0LBz~~BqKB&37E$iOq_o%((!&+No(wC@ZlB;x19rdX5&1^gorI4CM z+rU!4t^c)!=(NL)6Yhw=)!F|ETjMECUuU~IPqQJDke{`y?Ypjzl&D3lHa~vmDPTpY zT6hThO4Xr>LOhH5G++?lVK*vC7y1_PnMzZzLaMb#+;>jY9HN=y>+SMaYG+wv(BW)W znVVG?ovwvrcI__8^+Req9t?N(y^iXgI8k?Fk9Zb!$r5-q&TLUY&X`E)BdL;Z(0 zQ*mEcGJNr-_^S_1?y2dOs4}yd)STs$W8_O^oRDZ_n(5f@;$j!9p)OX4nmJolp*y(R z*982LCF*;S#lx(wWAA!r>;?Xzy5-Kv?5_+oF}5ErG#^M4>CX>TeNswH#AJ(u^Jd)^ zG*S|2=$|<FvKL&`O+&CS_{}e;0xk~ z%4IQr^9-{)LNjEGFv3C198F0xrjnT`Dnm5{(EdmXi(2ZYM)`6ZZaH8H+DiHjL|7|A zJ9%bBC431$@JtXXt>qO7N{=)lBvJFfmSSdf`8cd+Oao?nQrLzUgVHCKQlK3)#4__V?B5y5y#pyoop;wAm8hu@W0T? znkT-X>8Q*4z+3*HBi$FY{Fik;lwanA)jK?Hi}LrxBD2gb=an`0d_m{9BHPcHEzlyy zdmlBmF_kx<2$8v(1!6W*mEQ{s-M-Qqmi{#EFMXEK>6i1Q--{xg+@4oVZI)eOb9h^= zp#5$e&&}awKH1C1*!+ z6-oP_@6@KPdZ|n>68rynd)di>_?PrT0J{36>d`yo5wyKm?JwK*_N`2}a|5!Ha_z8T zA?=l*wTvxnPPr$4>ki)KaP9D-I#lttgUFQ_~y}MK~YD z)Iq~ek^Nj&kr$N(MQhZ`a}Pm(>nAqQM!=;(5O5BdVIHKGIn%<2#N`usuGU0KF;y%+ zLi(hA=WRXb1YUo(7`5E2Yl1E_mg!?A>*R(`R0z~zJ<`df2N%ECT9)c?+o4c=(qW9n z8GL=9Tlz}5I4o11v^)G;?jHm9oO0sg5S=Hl`tK_ls$|Pk$`Xir{-s#0vdXvZUw$}g zC^*X>L~}_0$A+ONdGSYsdr)7}A@yt#=4o+h^zD1W&*U$JD8duHRNmX_{xQFYOl`L4 zMU(i%c)+9M4Fi&MaxEcyl~Jua{!0Sji^UI{T`vCV!2K#FYPEIQ#J?mDZ!79HRHRAy z=1|AB@yjP8vtBK99w_uMfmil`u8iNqX;`6o?96&8hv9mX)wKVBE|TP{D&Q>9UgNJx zEdbIPVX1W1{OPViXmzTX(-`6_4kXPwY~eFK$LUVkwJAG<_vL%AGUzeVS^>?cQ;T9} zih=j+5i6z?zyx8taJ16CeAZtJfd&P}NkB4MdV!`EM+VtWwN2kM4qIbomC_Y^X}2pSnqMe7V^-l?dMJzXLA$q-| zdO{H9XL<|q2%#<)e2eR%%w1(ZCXH>Mt;zppM_FD>o9)>(qyzCm&!^{;q(DU8dh~S2 z^&GtV8*=PQB8EquzKg+TeB<;4DG+4_kMQ$0tY}XnsWm1CuatUJ{X<0T}@$cjnhF;}_;6%Y)i2<6qW-hhXXuEfip4FeniHB^+fg$X8y~O5pGcXS2iH4L=9$<`wKkOZ8r4Sv;`_et5}XOWL3D{8c# ztu4@>vCQ2lA^)-B#rBiz%Wh?36mJQKONOwcCTo1j!fX(DXSa;ElcR`2bMmzR_GN@; zvbLWm>dRi63r_xoxWt@6YCf7rtSkBGIttg9@il~ zm9;_S{M`>_9)lf_hGBe>Df``=-C_W1t(OB*<>& z%Q_-)w{Ptn5>=KLpi`LF$cfVPI~}#S@k4FTYwOtUWf7;cDk>==HcaRx8_KH7=+mwT z7q&Ws%jmu~ML@Q@4u!(t%Qdl(-~7U&1m$zFDBS{rb}+D$ojf2U>U)V|scvAd@9$YL z+KWAxKblQqF?-Vd&U)f`JZ?|*M&KgeM~7PZZRbCAOYZxJ10BEY=-oQt_Noq2ES3q* zobN=%#s5<{7|Ka=rAQDRU63F~xuTU;<)b8+D`VfBtiFm`iR(2birgdE)-H#d^m}Xv zzJVlkj0K&2XGe&HXnR3>cSZS3q#Nib5n}{)`SfNDx##1f>R0$+-LNI#JM-=7)p zah|Uhj|Y;db!#{A=}ECVw=j~<9wh7&8HPFuPkL69b>WBIPv!Lhcxs*vA`4}L!5@cE z>VkZNAs7cic-D`W6olRi+Qpd5TbF&~R!j^BEjvdCrTD&G;Q|UR{R57--9G(A)QkL^ z1c(trpA~qAzHP_xZ`&~kC%PdHF{pK9{_6^47)ysG7Z_m?VI40ee73%2>w+Ilt=sR$ zhBC0br!YDREit2!c`@-AD@?>8FUr0JGPcuePEOg>FYngy1q-kYs&$$S;@&UzY-e~Q1o+AD~7vR<1lchSIrV*oWc^IQ>X5hvAI%lJ{%L2_W?!-wi#_5{AmbE_ zhr&_X9n-<^7+6J5-5)O5YSWK?TzAp5+g8n=HrRLKxZ1^eEAti6eeY9c=JEQ5@n3ZP zrAI#ILR7trltF`!;5VUP@Z6*W$B^B*DE!~0J_O9Xf#J#O|$yTb^dsm4tMp(CA$Y7P%piykgt2Pf%&IMJC4AzRP1{p z>4laZjc5K&jydS%3o@IPa$c%}lWQuk&xA`Vt7Y>}vnO^y!@8;T@A031mXAsZ&eN4@ z;%=(H(Q}XnwYW5t5is`YMiXw$Y?Z<0x8DCyLA+n`YT(9Vz`d=B5rVD^hB&E%QJ#j9Ce9ecl0^4J0hFp_9v z{S`v0!%yo`iYUe==%{@~;a22Ijk}If=3yikBg?VkT z{pf8v^H%Te(mSGww}4uh>fqX3g*e4M*MCW*0BrYq`7l2w|9jGQ_|&`CYnOixkA>WD z0HKy_R_723U|DD_(sV5+#fF6iBSGZ$-%+bp*(Nzfk0d3n9Q-cq=r^)@D_|VH1R@&L zw|dYn8(DUoBHN~55T}iL`7VcaeP`tSV~YgQ^HV`8Kxk(8rLBGrgA12oj8VXKjudJy zt-Pj@lQ;1rJxZ~`&dVuCiBuwd8rwRp74j_KG@nBN@d0(Yi$l>g5W%iB;di`@{-%3K zwO{u_R;Ol>?co#3ahSAsUh2bSyPN4!kXwx3WAnh;p)LA($Ul6rRW9rY+<Nly)-R_CI|P*)=x_&*h8FlcobMVF-sXEim`uS4!ji0W9*U%Ew~M;oE=&s z)>yRVMEcf(If}l!gujgn+z-f@>Wc;=vf{U4sS~ zuiSLo&PtJ|2iSH@ti7%5-7yJ#_2+{yTv5xEGIV+n;P#s92`8E8MCd`@3fz||gxfO~=qgb??10mz)iKO$nbMp>_yyON z{m=m+uTbo*MWN=3?=@-^3jW*++`DwZFap>r^FEyl>232-=-%yke-gxAN-RqIGC`IG zT!Xkkl?B;@=3$GL)>}WX=E=TANI>LC)^-A2J;)C2aPT16ia^j`XVQ=E&y;lP^4qOn zir|S!Ia&jYL$#z<Cm{MaMOZnA8@icM+ z5bgC=!fAd6wzK>A1e*RXuH4QLtc`P(J=>IesU3q@H;XOew@NA{(`}_co?Hq zy%6t*k@zxu?~(rqpVdVRe<$DMyac}{#TqWWpL2&MYgC+EGp4Pm6# zLye6g2M$dbldDf+dd2Lr_M0FC-9M?c2}dIi_x-2z0(ORBsTfKy+0}5*RKolkT{CO4%Rnz@#YDG0l_cg33P~?E`m{&CFAJOzE8)fpe6ok6YIo>XBB!zcK?c51Q3) zx#kK1N}hFpTSc-9ru?(RJ2=WOhw6g98w5K-jQLNnT!|{7>eK+0cP=!_|4vCGd^X3u z4^6I;(}qx`y}+yw7wvGp_j zRNsGre)=JlxVDA=DUANm@nfP94aDy5YoYb`;3c^G{JqucQ3u2oD)DCoU}DkERlBK9 zXBOF~raRM+q52NO!h^^{%mdd#`GGDe@6HPq8%sOKYFYTxfJL!>p+lys3*XBOv=91^ z3I<(?Ajvg~3DZl(>7QQ%eeS&lLPE=kBw->_qa!P_==UGT=Q!WlKFD1-yjeE|n=9RC zOF%w0`S1wE0To&jHq9R_<65O-*HH`606dJ7;cokg%;FRjA^#qr?yrqnwlUZ2E@{Yb z{jk=AbTi&Jr|r#m4zol8UVN4tNyC!md7tkxBriQh>0*7)=Z=AS3Ez}Y+`Anm>w(JgW5lq=hh9tY# zZ!LN`;WFB(d4bMy!27u2deA>EWKOrsa`{JuxJju8x=8cETb@`hi|SMpXdPFUPIKaG ze4&%#-;x$mig1+_%BB^)^OV1e`nPY3Hd3Z-(dX`81GD*C{7UmThW>rMP(WWxS`a;N zecHyz#r@Fnx}G*d6ku@ z55)_Bkf?ojhE2_Aifg-b!{}9pX>M~JJzpt@P~W0F*3qLN-TM+!u_#tj&Y@{V6s%xl zX5By#8VmZ5;gJ7A8FqX+Q@qRJKP4~2q`RLb%hhw{^j~w>eNH`m&na5Y!5ZbJp08N% zd0;D--za6$ED9()Nu)3BmbZlg0%Abmacj~S=fb?ePv?cIesm{y{NFg$Gi?pGQsM5s zw9dCbb!Si+d#wi_g-y7eH%j7z^pX9ZDOg{y==<;O(~xq861KrZEP7Y8__(1p!rt~h zMi=UcRx!!8@n7&Mf;D`Kjq=58g6r5s7IoGgav`~5Z3F9=;MV)bR8D8`@s$CbStxf@Duy z5Jy~<$Ke8x-mYkgNm z(#~qJP5&a|c-dt{g7$TjzT+?rC#l^QOl}Z5U?1%0?*fs6T$nzX!E;x2j)2GDh+%tb zg%y4AHseg(HKH{@pX3|JTad+WGL7gVu_nFcWlks>qC|&(+hJfBgO!>Kj2$843F?)$ z@2+HbD9(8%{IlnFo`_EC&#l;L(^t;i6ddP1cU*`sDDx{9O?y2*F8dFsWl%AaHq6L* zol`1bD;h7-bWj!(Ix~bVO;iUu<$~M{@!d@`wlNs!w!`hKn}BhTK2suMB*k6IFh!7_ zg{@&LAisz+S#CQoZ-Rn)9Mdgu(WP=>R7>V7=h?SiE6%u+H7a9!gMh+Sg+JBzrop)h zq6X1%Kl#klZGb7-)qZdF=7SVt{6LbNUVkI~*tzUh_VwlU21fSZt1q%gp;$ew3dUE{ z5AIRA4IPY74Jj%jQCYa-2G)Ua#8%;z{dOtpDuqK*7fS7Yp=$m0oTwgrsw-o-a);r$ z4!CrPk@sFWD`#ubC`Q&$0WM7K{}4f#s4(rWj%2eS`;4efs$16uTi=57s%+j$X^oj| zUfi$HpUvbWnyNC1{_GYM8X8rfxIgj9wQU}J4T64HAN-CVLxI6cgeFQ?=UHZEF-KR( z`pwzI8pp?%%((5K#Z5xM@QwNFS7sT68JvgFlj{W4=HVKG+v2%t1FuL{{=9b< z9J$>^q5VbDz$+Y#yESRHpAeRXb@}NlD@*P>#9=|AXoY3lu*fIc{7##Z3Np`V{ZoF% zkusy8mt>B$1?cP&fcIBgOWa&17$7OF#xSQ3U4M{Jm?M z%eI`AtATBPMrSBsAz@=Yle09&l3i}Pp*63R^O<^0LX+4<&iN}K5k$DMN#Y1zgKoO# z_}$?+tw21>?wrO~DS`wrXE@l!Uf9?lxZXiPYbmAa$$_}Msz$%Sp<>Ia?&K5FM5&-6 zsMEY`F(?$!obcY_yBa1;OV{g|q=886@v>FWKxP^AdP$f_JXz3=zMI=K zY?JYiZG9Yl@2~(rZcH+NZER!bkpSEccUemD6hyOc77wGT!VYC%|-khFD! zq_$Q$zL9ztKhxs4CSy{Z9>Of+i}-sf3N6_O3>9^p>94O9HJt}cm3s9*m?S>*29oS$ zj_Yw;e#mo!4E&65)Mbs@4&Un8mfqB|J^ooVF)JKA)x|?fdHq=hcT}3sc4MWxB+pqq zAR2ynqUY8A29m~UR?PV?T&0Olv;M67K9I3LHyA3emC9X${SBd?@V_09kb_DzG`$uN zPk^d?jBF735JI#XTBMX{eRe<>(8&OFn$r^4EZOhI69w%>Ijffrnh$+BmmS>5QHPr#ttxMe2|(GrryLf4^ZdmbToF%HTP7R`y25!`G|lC>A+D%tnNZonz6sI60m;dY*jFnB#u;XG2ChA%&L1KLL5_ga49$ zeUYvB!y+Qmz`_NmxW`72j0r(#)~@PZ!VNV`e@$&xJ6zM7j@6g>su0lt0DYyT48|3T zZQ@`ZyoH%2N;6@W_^ zwa-{}wV!UEmQq#jKSKGW(!zd4`9b<4|H#W#e<$iR1nzfRZ}&kH+s;WIPfSUbfV`TOE$`H#(~ZrxFQ%T0kDo-!X5B z?jV$XrkrW(b}Pa7fb-sYfm|SFWGkLlf5+91ib1n!s{9@2Cs%<)_U*}^`=X(O47tE# z7Z>0fQMLF^YXa(_VDX(_aGx03ZS$zn! zxu%y|O>3M4PskB$_r-%Ed-L8>4{D+6f?ec;%R8F7Nwmp2)BNwgbT*~dk@$`1#_%$d zn+*g`E!rk#^WOgAn3Kun4nQJCZ4RSVtQvhJzE_OD+<>aGs z?c4IH70&4Xq=>ucCf#Y9S4jZFIz7MfAj#P3RaBruyAu%v5~C+oLm(5mzGH*~SzYml zo?07nLJlc?1IYIew)kL+3rxichwKUO$zpqq|DK$EeMP%2$(f|}v6vL#I~j2DOA8Yt z{&>~?U)83YH3xuWignz-YrMvvISsY36mG7EHvG*`LhW;gp;#I)uzhD%GAA@Z#yQWa z9=Il`&#hA4u1w1{I`T0IFif7W({Fm*{*?-A(I75N0@m^_ZNHs_GmmXNpvn<1u2=Gj z4`wg*HD2d^l$(URnx_ADuB5;=QakVlvdH+3EI#Ohezy!2K%a{nB7+4G&TD;TQ%S$+ zkJRf~O=TF`fsI#)JZ2Ca83C>_lrn8eNcX&CWpZi@K25iP0Sk^+4EpPBNI(+}O%bjba}?j zFIoKn8+a`Z5mCylvBs-~nm2k(k6VGUKU5z@dwC~HHe+dCa96W5^H||gq7iV@%FB@Q z2EqVF3HT%g8sev~EDRs{rHjn|MYfi9AV%J02O&>J`7L@&j;7*l*G*`Srlxv}Kh*y5 ztoGUBxzmXxnC-!pEzPx&km(8$**rMf!SSRXOj0N-8{O=XX!URP8mXAT?nNKU_of#H zXO+rphZJU4Q4Pcs$1;9(Y1zqE8|+4sm&emMlJl!ZnJ)C;_Ysw&by`yt(*ef35x0IS z>ZM+uN@pI72|pfmUhrkWF-|3!BHCJRGtB=u**&WrWXPO<_ZMA}eP_7aRto-)oHy;a zymQuX`XcYlUE)^rSsa7#75A)(>Op&~wo>I>jNj)0|P%|u*l*lHyd{3+* z$!*PK^SH6T4$|J!B>2(fr+2-sB&(a``b!#;At@6xgbrIg+cAQ-R4WLM=)h_c?BbO9 zxAA7yN7$kj^+z9D707VXwUC93Y7FdDUWK1c^jAgO#)|^Ru_dm5<2EOecl~IuaI%3=2=G)w2T*6JNnVa9%qD!{ zdc^NDJq}Fsr-TTD#@`2NsokjLUIQI0>4RkBhS#jwcyr z!3ej}VYV79|G$JEn4IPAZ;&QMqe5ePHG#LLwfc0&Cxffq!?dGAEWQ)~Cp~BNy{f3z zt@W+k7rdd4c>E>Cnv2$i9<0q>(Bav1Qojcwij)?Z}T=ZZ^oB^?*_V13*1bb zoEG@%hxJaICF&~|MBsIZz zho+8QZWnL7_W-uwl>VMT42pp&a-iBF<=@W-wlT7$XPnqi&Co_ky@==n(p?7|30n5=&( z3`SL;Tjnh$_L%+^!2-OcdN^KDb_ToXB|l&+nm26@d?(suoQBgi8*5TTw~pctCVJudh;HQmo$uZus}_%e^&d(yr;5^`QYXt z_@Kwf@qpdW1eyuwADRKih4B)clZeN)4t#;SPa0)oHcK=?iJx9Wj+C;1C1u0_KsQ6+ z%U=|m6$(Hp>2Q=^h7CGE+MW{PgU1W$YBf1Yr@+74#l=5l;B)KB7wvvldB=7>bNNG2 zoc)wpA*3t+jr9=J2Oo9q3`{P`uuh;r$cWfUxT|OE5;I>aI4o)4d<6pqWWBeT%~YHS zG-L0UZ1Kf2Vg$S?RKK-_h$`qk!kmyMN3(hD0Olz9UWY@B>16 z%kYP?wIjJcaPs}fEIlnZozO;|tEKX3Jqfhj?K(56Mjd;#4sInp$jH(nyvE6V5ud#3 z`smdxy~9^qL|uO8y>GD-8=qKcdhahZK(_;`3fO1kMrv)q3S0)fJR11aN~(;-frW5lWSSh$@5pm_m})X!ZEhY6Mr8r zzGMOs$t9^1=b={i5V4G|rB0$-CgA=k`CD@;6JZD)CfD$PIc)mDZO^WCJn$BSU)D+w z$U4YYhBjk-I<6-N8PUfUDHm2#srO~3nZ7ChOyV~8=28#|(QOblpnG* ziH*24@a=27kqsi(x7C5ht{XvW72+IbfzThY7D!W2S`j~EB^pgqip*e6AFU$J+pmL? zb>d z99iM$a00r<5_L$``XG#Cmh7n!ttoog6tQO_V&oOh08mjZxPoPQzZs9F_3_ zyuw*($;qB%u0%fK{CiX;jy^pKn5fQse@e&nn)Y@7d&gaP;BtvI5{X|3bx%u^k-;9@ zBgNt?>Bw^qAv7rMqxYz*a2?}C@fB0+XAMD${3FCzPaU+4IUX}5CteftCRkvspj7yH zUc{&$a$_-fwM#fwi~^`n3LNK~xXGI9X-Dlj?bcR=zP9@6So|#x;3pw$RCbi(g55L? zw;BkOy?)RiUSqQ+2bXlNtHZKwVS6r3iIU)tDI)q@=ZQw(6>Zbs|0#u$08aHTAc=NX zqCq<#b6I30MJmdfp=(1b!f4mdmzbm*;-8-58P312zSBo!6fo=k83;sy=%i%=!+(Yw zUKCEKMJHCAKXP#LMWRAJ{n2dKKPtq3q5}^)tjupwcK?4afJIJFuER9O#Fm{#=)aIbilm)Z>I3iu4ZUXTjNI-p&3k)i+UMt^=+A&+~^{d(jT zhrtSucD+MtuW2>#_g3{>ooh3U&ijyX4qn$bTCF&3%mh)|btL3#;I{te6pTl){EvGGGAxC8vldV`x_5q5K^sb%?t=Z43Q(%2SY8v8`_)L30Vp8gn>gwLzaROqO z$_g)^&B!?OItmU5vk9)fvk2~1kC9h}B zM$lK1VVU^!?YS4_hFNvRXYf5D5z}uO>6yzJq)veho5OP7bW6}Ci1H=1PMR*eW4+ZK zBc<(%cwdcCr4{QKgd6oK4rRGPheB^u{MVl*a0MClLwkT&#zxfz&N+&l1>s%06=Fxy zI>Wx2o%0%)zzGwXbg>f_Ew?40x@R5HVv?%Iefr5O=>mDfsVWxa$pg~q|3ajDU#D#qB9~)cqS0S--%wBe=50kI`n(^Rh;gS zX}PYMN!&uaW&c`yR)}xv1r31Fk}j78_wBP`g}pm>(0Ap7He;>4&N{}(*6YI(5k-@M z-=@3Kyw~uA^vuT|_L&Eg|7LDXo1Q=>)Joe{C@|K#(Q=f5^A9~p#i4%A!*%C3GD4ZWbvqzufHK($wRvf}|je zIRi3M4iE$3(`-|{)dd2;My}gihJ`gh>MMc2|@r@B_g;MYAD{C-$HjH#r(J$4~_|Ld4?UCi$ zZ!GyqtnQd-F<;!Ug*vn~{|Xfg=@(OXG$KQ{>@%`io#VuPkDuYQT448&WviO8f;l*H zhAkKuvddtYJ)XVuGg$J_$Wx2k?2r#XD=s`#4PIEyM}0 zx@bbl^?*pf65tGJkMd)xRkeGbCzKXS*1w@xGl#eHIT~j$S9`14d<)UoNR7ilz30nt zLZ?Sr58UYdbFJF?`s{~)7ZLD9N#<3t%RR9Vi^BU~@PG@ZF8apanHi!0_0)?pto8O} zZScddI7!$ZGqkq&swjS%z6;pXRuvrvjc)EgF&%aPK=hP*YEvQJe!EY6Zo9E#dIYcq zIj@9>$y(Rio2k&x1v%aS7m#mo9M=Z@4OR$#C$nT%Mgu46{*|vH1}=bF#Uu7g=NY1dVQ1n_vl?V zX(`8Cx2nwNOu~$055bqj`_rcDrrw5Mx70B&I5b@xR-3`eboI-twUaB>f^% z+11$z%Y4LmV_>^X6y7owCegK0$?JA=`hfuOvGEg*lV-|aLE}vO>oD%vw-kg;M3ASM zANXtciC_ZU=1}|lmR{joZR4m3Y_u1WAA3vzNUT^|!;LWP>l6WaP@~yKU%{qx(>wqt z8I#?VEWZ+1H1PdNE?nyr7h5=!1bcz()<}rk6#kpL;)!tHo+;1DUl#j&{%OW?rn2<=RrlIAX za3(O9`WJ6*u5`JpA%^aj?g3`#nxPxM zdB3&(H)qY=xj6GYd+%TEu-fwl%ef3Pw_5l9P=s`7PM=Jmk9h9NJ%Ugee8XKKX;tKu zs|4bwQDRkN2sU(-bfR~Dx{#Y&4~)s~BG))(QjENC|1ZMzaA}scyx4U*HS7>qgN6q`9dRRhMpVrA zu@@_Zn`fg{eGBxf-^<#Qbij==<1JZ|3v)zoUjoQ8`i<7OX@7b*)GO>d(gM$ca+ek> zb5DI2&A^kv! zozCX$g|(?%`Ke7i_t!Ur^}0!#vMrPQ^#)19*DMRxl*xriwEbeDjAh*(^ZIt_@9+=< z#-&U;B(9BBMNK_9qk`^Up4B6N*A$(0@KlsX?nGbqIW5v=D@^hjXo7;{>osGh8Sh&Ww+lQQao}ST zk+BXdN76%3+LwTi`DGNwmlVX5urP1hSNk~K>WO<@1~Y23m_iWkhJ_i4Zm3!ah`t+I zd7Z(*PiCeRM@%IcpNW`whprN88k-niwCNI+}4* z3kkJA@YZb+Yoaqn5lE5DuirfEO?hrbO08E>3(k>VtMB&kged7w^7nRH_CqvWwUXK#6y_YNK@5g19rKuNMW3wN!$} z%%zH^EG9}l+HgSgXjd`J+p=fr?|is>x@q=kf(#mT`0odw^Bp1=ngKGUrhk9@kLc+^ zb=F!#ebUnAxndta&CDf^-MGH&HV zgnbQ33v0BD^omgWPHIMs%c@X1oeC(>&|aWUe_tBNES*Llg#X#FYayYn zE{3ry(jX~aXZ8RK#i?Y_jpkfglB?A`d5~Hv=={4Rz!AGe$}U^gM+!5KFfX>9%Ta*R zKq+*rVx*DtR~x)1+w1Kg;1uj9(T%jnvwz>c24m-~$_!I|*Cz$p;^#y~S=+CMt^sZg z9zR_dT`yCLdTg<^nn)5aPovOEuT0(@%ByxFt$#UE>~a!9)F>)rUo`rFX%VIS2#y}h z(|Nl?C?YjL;oamb$i|$k=MsPH0Fx+k4$+J&C)suebA%-?7Uv~ckKr9RwklHpa_Ij- zl>(Hc*8Z?ul)6QuBz7zg@p_jEBEU7pAHzFTpnznsmrwdBpG;WZnVfjgRN{0cA)-F3Yz1ONOETqw40hUtj%aif-eY5StbJ}*XRpzcL ztleuH_sOR!H>l10A*jDtE%UUmTMFoLm4o!cQCCbM_$BY^gO)e~gxcvKh=}K(#S6XP zmmU{=5ap@eF(6VGudrWwO*I+~f2#xTYB!vYO<;Im?=OE^#Q#jW(CW=(W3_(CgdNF; z4aj9(AeKDcBvx_U`G`3!$4EU>6#^R`kV`}%a()=oQNeTOT0 zNv{@~ef3tjoT8!a%Q<(tosY$mCz$c?k?zf`#H z`yPQS+h}FJfzD`~NY;!0YkSz~uK&E4On;^-^t<|49T&B%uWD0ksPC~ryWW?H=d*sY z3KSNTM%5JJ<7^X613ds(YAxb)^BFkLp_WH)RUC2&+KHkCC9%-{060 zeFWq6frC7wH-e=8CDr=U@G_*;ek}b!-IdGR!l70Bt?=zKFxwziwHw*W0&Pj{_-=K+ z)o%`I(98wSEcjN-Zv3XEfh4%UEj2`pxFN2Nt&iv%Yp+aZw4SPz8&LsIkgqULu)~jT z36^)_wZk4i>OQ5I&2i#g?xoIKmGg+t=u-l+Kg=D?D!y4m3#vN)D2NG|FUmMB?w2g` zN3&8cZtpO?hPOIM zK=8ETfP!)@>48D_2$hPO&|*DZxXpbIa1NS$9~7N!_P~h^W;`7o z?Po1u9G1mI_B)?kZTN-FPhEgt?0)!4cDJdrACja@Ck#kvBPP6llTDmcx-nKv?gEsv zvfS-VPIG4BWoLIJ1!V+s+=R2y_J&8~rT@k@+KU0$h|L29$AbC91tNITOkT*#R`2I8 zsJJeB;pdn+=1Wg7yF7wqN=8jhoRx{$Lk~wvQTE-@^Q%5_&(La^%8Du0yW8BI1{FXTZ8AGIu89hsWqg1 zQ65_gcOK$9?dwm`teC9yz2{4BUXp#dbBbQ+crfrk{(ig^B(rW`_eN2#6@B(d!p1^1 z*;+*2HZ8y*J7~wDE+gmb?nA?@b<^&yxf=SXIKWNK(<-k2n2^+2KM&BGWGi{xIxs=-XLGwoMLwqr zqP^*j62>y@>28PE_L z;vY`%*${NG_=do?jqIP)X#N#bsc$7B;231S+=IH+@2h(8IMj0ym-rKO>60x1PKn z&TUg1$pZSDrzud7F7uxB-Ky@z2>1xnhmZ7^UIF|&-12YqQ2+hS(T9%eUGlk|P~9x$ z255A6Ja-4&JeY`3WBYScZ%r;OXCw;&EqKuZXFxVsC0oH?+Jm!MYWT`vAB=4>_wv2) z>vKx9W246mfJb5t%f)Trx0K-!DwepGnfQ?|wr-7$Ok= z&>V5)-W5sx7u&K0VW(hMQTsCjt_TTux zd@uw5{M@%sRJEtFIKijWDhepVO3$Ix^s!bhZhZ+a4gtl=1>zq3(;Sc}JV2PTD^9+q zq;=(wxX}ZwbLv6OJmXG|sDJ9a$ceE>q^;_(e}>sR4FL-yK=eB2)2C85l2tf>{`Y@} zs{#%i^Gpe5FZg`tDgR6RAxlH$ee70Asv2!}qFzUjC6rZ5o_|Fx0)t*a$?2ZctA_E% zrM|<1=E`HUkl$_-1L+PubXbWn{-8*&5SfcUdx5wFJdjj9FM2AQnhqWU9Spb5k&R+x z4AKa(ev+X&Grv6=U&4BBXtWWF!cO1u2RZqHfE+1>&DyOLaE;YxJLMlq@t&;A! zZte==-a(XwU&Fs0%cp~}BJX28Mofiu2kk2s5Ogu_RO}a+EiSIblS$RMjcC08WuCHu&5~ZpaOM4~Pw=1hf|MjOYQn6uk=1^IiKjn06YIDmtiFrx7 zu{~W512)dR*J2`eaOYeThtK}p7JpWz~4Df%dGLoIG&Lcn!tVpK!Jle>RCVM4pI9U~ZwmRh%NE%TJSXg>; zL_}=RZfU`AJmQ$t4svf_r+ysA;c)GPFE0R}vk~|wzD^0^j8=z9t9eMHaVX(5EO*KK zC15?|$LZspYtd0XIG{dG*;%vK&woZ#I9CS0!L_=LJfS!r!uJ#Nu>^O;0@P!?xskvXn)WDA zPvzJY3(oAawm%pe-IF2pVRFqGwQI%_SL%W=B^ zJYOoBvz8okFJ$FHczJz0zE3Y7cHtH>7+CQ=?Vq<6h>)sxQDaTs3w-#*W~sLG^Tq6q zsy#@U#~+u6Z%ft?2#D_@LaBIYs>EbWg#5wRXM{#kw;Yxa*38~AGc=7T$!EN{!a|*S zHMCPNj`c4<2t3aqW-eP6lUo4{~3U)|RUXd{zeIR^PY-&eOvXOJ6ZSLMag=<|w{o7?HjhBo>o}!hG=~Z``GN5xGavlq$#p{d9+q`)gOJlo zbft*&fv>qwF8MuQ?Y8XZtneCEPM5%A>eAv^LNP6@;%5^uhQg3>~-4q0E>a4${R>q@hUC3aH}m^#X` zP?XMA;cD#s3h46xHu(;OaCTu;Lz(dI;DH$K5pi?!M1p4M{O`r-VNIwmm{UfV>nMV0{0-QasZ+m7 zbF$1;_$(|qSCUtY&n17DO-l&E%KI{)`jlK_p(}Hn)u>lv^hS=ta3=RdA{wr%M2tvn zN?n3n%qbdB(T_CpGD5L&#Q>N|Uf>>6myvfpGZb885Qrx|x~Z0BmSahLzainvR9@&! zueRGtL>VK7TDt4zIsB2zM@k4ghj#lYE{VWMqBG|@AJ(w8797wPCQKa(u1y>hLoG~- z-HU#*m4eNtMxxB8@CK~IxZZZgsHB+=KsqnbCC|DfP8XBlyke;VKFWYoCun72C1PUh zox3oqIK(NAjvi9R4|;UJakf$!O*>rAr!k>tYrhBqvsf-bvjMdco}9Tt zBjutJ6IY4XPymLncj69?u*?v{?ol9~lbdO(eG_7>gMRCfk9=%W>URrPj}N~4R&_yI zM;IopPkl-7f#nv@EOB>ijfV@r+6Dj?1FE2vWal@97JQ-fgw4-t!Xl_wPjdjy04I~x zt{=Hi4ANdZg`yq^p62r=EZtN#24uLIqa_TAK9OTKI2d^UPM8<$vMrR}-S&6bxT2Kc z5U0A86{ZJbMPqMgSv+;JeA}m>y2|$$a5-!3^?a&Ov0FWz5z|gDl*l{ipp(=nx=sbJ z-p$}H5CIxrDoe&`)qd~WmYE7=VM=Lh-pbO(XO94wWo5ijdfBzz5kJB0 z;O!P3WDxA_btSTNU%;#uzHZnz-xZ~;tO=V*Bjz3#MC5osj-!inG8r}Q-n~A*S^7t3 zaCGNV;(_JJFIuhp)%`>@ikX(*qV}G`C;Kxv9RAy7Xm4u6X~b&bGp4C7D-odC49~7c z{Flcky3CsRlMkGRKoXRucw15|Ww$=QpudIXse;t8ljLyPjR_zQ;4*`R9b)q#EJ$TD zS#G}FXagn9QmPeBnkVjw+->Yy^MdDZ${j02tmPoTx*$!sHA=4<)Uc<|?!PqK5e_nT z5*l6cPG;Z`mU})<5dN5ADl~S`Y3ypA)T*19mm`;ucTk~q*qglm{2?)l9D=kX8l!vy z5II0;AJY5V`mw0QqSkBTGoox87m^O;WH=y~Ycu)D@5?ZOyWN>Uv;&;18vl?23BO-< zJwGJ^kHSDj!XtToWYgM(*~MiO2H_3Gcgg*7FHch?&8?oP;*@OKE6+*n77e#Kr z-O~pDtF3Zr&;~ggUqlvEc!!JVtuBjn+){3Fq=$QdWIN2IJ>~V#OQU#f5KDbr1I^ce zXz!)2s9VQ^4~e`6di&6Z4X$Vu(@Fy=%R$v|j^BD?6}AvLXS89>1V(kh8_f@w)<4+{ zY>$44QJ^AGPR{b*u}=L?M9I|7e}xeT4W|IP?08Q?pAC(E7&kZ65IhfG)AUUDP}1KN z5o}hj7slg+Xj)JD6uc!c3tRKv_dY$ReX;Ix&hv{bh3lS;ax%Ww$F+WwX>ODYqWd?> zI2`mq{@7xC90&qto*sRR4LoAJ(5EH4S8hItQbJvgcJv3&$0)P^qM=1dgUOPHvXV4t zaIN_QN0k`{Z427BG?FbSse;fQDF2kTbH|Rw5j}=tdfV-PM`^ zfy3M*pCZ?H!eh_2ei4q=1*64`KR~0$DMV^ddsxY@ctgI#>t~tubliRfGrVnPU^)D2 zU&rUVU``;-TdJ`RZjLOGK9l2{?#Rfb0R3|O%+LCHM%rfHnhfINiV6&O5TIhYzu^4p zKAe-Vt}}0~d|Ymn)Cj#MguEQ98pd}1MrSsMTpcFVhY*Onp^}k@LUl@qt=BeUGgO~b zQ-!mB($oI2N4BUk>RiLhdBO73&sXm(hAJ|+9!q^)1m$oi;x6@MQk&}IDeTUE3dEg! zENM`xU8G>MkH$D0d86a|hqCly6uUw`>GAN6Kg#wh;B&?$D!>@IkVJzfD#5cjNNhn< zIpwnI3kEXS=e6!2DU(!j7t_hUAPyBKKO|&Nzx49%S!1t0LWbyOCZL9v{W_em4Nr+)^OOD4u z>N{eEcmX)34p&bJ=%RiNkn}vx<5qeR&cO0rmrf%_E^$N8!o|=M4fPhU8jmG$nGlq~W-Yr8IQsiz$41?=TFw(TFxrVj*L3cR1wK@j41&mvH=F!?9wJJ zSJ+l|pmyymC$L$rUI?a%6ZoT9#3?KC;KBjdz{3>JK6%8xa71H#-ScZDGjYrAOy4vS zqz01EBASjx`G%i=eG$~#hWIKreQFCk_MAJ#Oo&t%63`_9tnW_w#g}05Dc^58b^2qy z75ul|zSnFU>{nQ<{Y1R2gB5UaZ<{L}dFg)mH#$AHDEwoV|HCeWirUi*9F9=TG+JzK zj%+*8=Lv9KhsAoUGQE=JN!Hfuw%LXC zK2$N0nqRZTPws|FC4(CmY~mm_0AVNXwBx@7?F_zv8qMM99Ax+$5kEs(A=?^fM?O*S znsrF`EZ^rl=Q(R53K1Peaa7;3VXe$@oXi$_S4z8Sze6Z%SJxdfTM5#U!B(TV=QjWh zEK`LBG|pNJD4Bli!3V+=)OMJP5~9D&mVZvd+uoxIT&!iqxhh;5DK}0^(f>g#QB}(7 zu(c;*UxzGasvY<&k9t1Fz0o`&`}&FsPax|8%b~vwXPFIp=LFsTG5X6yyOi>r6ld~k zouk?4 z|8{)iK|=sS09_LxMeiR=i8~!Uzd7%0>Trvwk9d@}{nC>MJY)T#&fB0J6$suuGCEdp zl4pP2BSE@-^tHfAOto2Gtdzyqpzxm++zSW7Qq~AfuH^(DV}BQhTxBljHAT-VWRsW~ z!q#3h?!EA#r8oS%@6odn8w{#2MB6xHXOOzG>Kx7FVe%3$9r^wG$^=FW+a-j&*?j>X zHtuI-!vq?2s-Zw`uM}(Ed^3}xuUfda@jfwf2MzaGgOcNNF3Hiu0$IsgD! zq~tYXG{^ydVKi%ZC3|M=@dRt4Bgp2VgB$`?JB!wdm6@V*>L7L(78?!&4!q-c4Q|&G zy=S%G-J^o;u_`hD2&)0`to%xMqh z))9eh@^x$mcXlB%f7OFU$bf~a>*w4*ox$(aLg`M|uV<~rygtP_2gHx(t0a#F3t+SHDcAW(u16Vh%#u~j?-lt*kv}bJ5Wm$+N#-XC>)-HM zFL@L3t#pK;lCdXH+F_?|<45Gr#r7m%Po@!&de$HWYOvH@NNeIT?CZD|QU;g*mOCbv zfV*x3d6Tj^#gd<>!Z^IY9_2#~Xd|CKTU*iiyXDE_lv(H-<3j9~D!qG`1n%8C6J-NLrVvg zxR5yfY7PP6?w1$jkR7mJ@@Nc?Vp_DjlCXAl!1cUMWp!dx@^5zUpG+ZcdMb$2JhTbl zkoj)TnrV&VlocRFi2k-yZ&QCGA`~6+PqAo3tT*}i%h$5+Yri%x>W$aCjH+tAOdrs0 z$>qz>Zx?wW2Nc{9J!x9O#F;}6tPNz~*x2aoQ6VYs)_9!WHJvyNtQQlMN`stFsvs(M zir2Z$Oa*B=u_Cw-%k|1$SY>4T22XAO%7?DDsm61Y0Tr*|@2|V__Wa3JHhC=}RLtTM z=}yO`n{5}>H?QByIIK?z4qFLIA=T>szDC6@XoF153+B|F=*WEvI5h3F6-@&dpOZ{~ zc=vW3H`Bg=-3HurJns<}&(O`-#Rrajt(HUWlCl!dF2-tm2s&MTrEVhT2^}7cTGQ(C zs|ymk&6~p1{+9O+OZ3?Fc6z%ZDo!xPr{Led!hjq^dzAZ~b0Pd5`i|1B&gw(gH)s3+ z0!Iz1`zv1P-rC?n77MJ8Tg*tjjR}G+e_PmaBCL1~bPop&>YU>Hd>{e9c84nZ#68kw-xNWebSlzcS_Niwv&~a zsiyI!=NT1Sj*Z;q8LFie?$muogPJ`&(uvo28+hG*X~=Qu{;-KPRH(L-L1>BF>}x9r znA#LDYyFqxs=8=@?(Z9%G7RGuB(7Lfu>7ufN=wkg#V99s1`RjPz_)TC*b zFmofg@Q*OX%PSb>PNSpTMg?Yg*ZZ^Fc9#t;q8a-bh|3VXKRCr|Zq@Eo;)U(ZtBFY# z703TDd`9yc;1nQxl`I`|wYXh5{bO}bFQb(5hSa3dvIrsX5}AORf022rOBIz|BM4yz z4#sZw6V>`XilOezmnmyno?fv;{6NOK(S)R-_F8tJK|U`ne~1fVcnLrk1CO(xv{v` zbYb6w^pVauHUjTAv?+d@RHjH*sap{7oeluNO`HFD+z7btbH2VZxxUbqPA%`@w zhBGsl*55y3pW5JVX5%ZpQDm+iVdlwl)HgQf;O!GuauKStNHGJDEyfJj01J)TVxtCX zCF3SF^>u>edkG;QdzY#ilD5jOX0|N(H4}*h%wEcL(0o{ZSfhh3jQGGdit_5@6Z*!b z;~+gNfyl3$foUPU}b?7ppwbPOnfc|bB_q0dOD^5Wf3k*McL zV96D!p&yvuXCvE(Bmjl>yMl?~ekzH@h+vytNR0B}2V%HKa%FU;sOL^{cbg~`P5V82 z>eX75R3X^1ifQba%0gnr7u7uZ?v4CHY>m(9E003_*=;*xn~TJ*UD+j1lx-0V)$^Ck zvEng1t?weIJoj*~k;BsIvG*nq27w;1Eix{#Uh~rJmEWf^Ou`UDAa&bi>>MDY<_lkx zSnCa4xkFn&H8U697gvdI>%>7_cvU<&5sV<~i9S@T^^C$pQ7`TNF9VH9S1vq8V!kKe z$z*;l=k>4b?vcZf4l$f3_WJZ60(LVT4|{B)B>pr`9Uxyk)ZNQ~8uxI_)Iy+;Yxb|s z$D-Z^+yKBO%!kh~!Af!^Dmks03rVIUHx?>bd&y?4ee$v$1e!A{E-Yep9okt*)UDLW z50L?AELA2tYCD0~T6~PuZG-p7K5i=sqggYl=zO7`)%~p{@nF}Ed;eMrtzJ7Doxw1f zg`4uOyyQMDQ|lZzB3~fwkaH(6QtJ4+gIY4voN)ou zkp{PYcLF{`2#i$uckI~N`dc!vBk3Jdb5UYRXGgjO`-TaxgGOiL277Io<|>~!8u2OlZs-ee8R8rN=j9`O1fdZMJS_ldxVUfu2$xMk{5V2DV)4nT5@OnAFZy!{ZUI3QBuh-mh zlUCq8JK=baCX0PPa&8UUQ@?CBJAkQvrM>^;rp3&Yq?>+4XR_qD4C9&p$#PZbLj!)I1Z!;iw7+D zp6SAFE-Rhz({6y{8~1=QvjXm|3=Z$TuHW3%mX~pD#7^1jRca+Z@&%%8`1`Jb+d1dT zZ^&M4_9d~Yj>%7*4?B^pdc#ju0fFGU!+);6k|O==Ns0oSNx3Gy=eZ_>D?wY$9KbE2 zo}$-dP_tdJ32@pgX z|J19P*m;ulAVDY_R-bFeCGGRLDvkvGsOWn31?j`pY)Ih?RjfwFlvZ{YF~esjvWkm~ z%UxxHllZV~j234h18J{`DetP`o|=4{U#l8UN3F=Cy4r~;ls-AtnPFdtFmXmKpCapA zs1Q~C0jyjrNp5?WRy(`XWS{?sLvypS!8@hiXt)sfc*-YERROmID6N(XI)5y^|rOOm*+QaJ@opbH0m+fi+!H60od=e^1t5bX&|1n8J93>}`RwmPUvOzZ4&( z4Qv}RbEDn9O;?4MoY!t5-DdA*+9$` z{fCmGlmda|!dMYRj^eYT>Qy!B7!sJ3=$1n4z{SI?2p&sMDW*y!&ersC&0PbrqWxx! zHk){N+O7>>6bBlddUddG@WhL8pP2M?g!3bj+#S$3hpBKn5qM_Denuym?X#c9d7`y1F7|j-TkcTQPp)ZswaP0gJ@nINHtCAi?K5o21956*c~S z(7opu40>zPx>iJC>(bi2`c<*Z{9$xm^c5=K+6hj)2^O{;swUlOQOrk0sk>t*N5Ne( z|Cjf&xGdydW0Y`OSUv_MlH~bl?c)#Q&DpM%JDc6m(LV)FID1A8cES)S+sML2bpWQ~ zMM*d)hUaPU!&IN%08*&rYocH3-qd3^FUNT?*P_-SBG|C(y`zI|iIpxNzvrG^m^3`4 z)8r}{FwG>EgCD@LO2rDHqzQty-g+17eNq?OC%gBtDY0?t)_ADMMSA^f^m)s~(3I?JI^q5JbyVBXrlR#DTO90_T@=Wy5RpiS(&hIJxg??S)&ise!-BCpv`_{dD))Jc}_KlO+hUZ5nCy!D*5vuhPIE7f{3 z&Q0t~%+aPEx*sF_Yg?QkmR0vU@dP6=I^{(ne>97^R2yme6TZwv)<51TiZ>CTr2eM< z4o+%uVvewS|B0c)5heX}l?qM{&zUdpZrddtaxzz^KKgRoDLP>Qq`nmg_vgPRaC}Z_ zcVdUCHJ-b3WbuEmvq#r04*wYfdSV6Qz;xp{Ki+eQ(W}*uP!<3tq&>!_-!itJWwQ{~ zQ({9D^g_8JjXLbtBW^gw{sn7o4cccWR^ULI)b^HQ`EdXa)g2hFS5e;G<%=Q~t`;%9 z81Wl>QiIbgQ$XR|sf&x0=ZE z;OD!+0^*1y~N|4$z(;u>2 zs;z?oEJYGP)v-~B;ytf0^k{KIpSQN*6`0!Jpp7uI#@l*nvCQVprH{&wVwZwL?bxz$ zCW!U~8@M+`c%0qsE#@{nDm0~J=+6evYYJmKY5-^W?+;-dM<#wjdm{~R>c&E1Kfm6^ zo6Wyu2epi=z1hZN{1xDw7IeJkIcO5qi;( z(+APm6f#+e;c6{Z`SvUMn9nPN1rE*ht{f`197zig^?e$+NlzvLjqt9UQ?pTLN zQJBmxmWw-4#y!-7epn*+0hFCG6-QfECKlSd{vK8`Df$+Wcqh&&qB^v-2Q80q_vmjM z@U#V^VYYO;s5N<7kZAaC@Jo6;OJuigR$N=6QByRz9E{ahv97ctf&Lun$P$<7Tf>x+ z&EcVOVxW-F67+$1;5=I2AjcfcHvoO0Oxh+R^z;!}-+7;&qh7T;JHJs$v~%DfK+X`Q zwjlg+#s(y0z;*T$?yuue$1tf^#ac)$WB?=iS2fHWp*_=dB((chUX8r)C!8?=NjaK+ zk?{OC>;CZk<<}Uh5EARbnfIuHm^Uu~yy?t$AS~V+5BPK0wL3v2xJJP6s^W*>6Gh z*A_~9WUB`h!RLW;Lk2dZ9*{Tl*HuS{MC)%xu@$V$r1VMB-U{(fC|d0ey2g6~yEJTa zlb&wfFcVb$)O{o@4tt`1!U_&P9!=vv{`6I4sGp%QS6~`umCQCgAnFTurMW!;L6-z4 zp<8c*_y{WE7>4@~il9f2uVh>Prv7MwhCu|iuuU|LRAtS!%5ARFW*oYVldE$PvjW-{gSC_~;zXp_F?|)ags%;7w>sb*XJTkfy zLC=jk6Ubwo=gS%5RM|$8f;dm}Z#YpUE0LtpD9WMq|5K(xs6er0+HZ@kOK(M zm?>1@j1jhA`oN9s?Pcd=J(UY7*|WU3{VdFv$|L~Hz_4JR`a6-kP7HVu<(V6H5x*56 z)%<5F(F={IhcAmj3mg@kXckJ+ERIXnPh95;g}jwVf}@0y9LI-)vXfFk?3lhuo7cao zK)jGBn9Pk8@F=&v95M25@W5$`D4fS9lB`pZClpjwV-+M9E@Er2mmE62=p^`ER!6nE zM$rIE^WpCfW%$Cu-#^#nqvgX>?E$+@e;O!mx9YP=+l3WD1#%arXVht~Hh1SoA_p`k zUuOm+Kv=*9G4UuFfRbWIR^W+23B*|T-YIDS@i){!!_gbqen7Pjg)zbPx6Le28z*uG`LQ8RC;-*U(F>7LH z8XSxN|9=;;7q}@#KByDs1dZRTv5Fj4z6{BMH?gYZu&Txte)pc@=Jg+PVOCpmMV&fz zkgD<}CO~|jP?YDLWUqI?6SYFh*}Uhn-t(cx!7}=0z=A_%idg<$K5l;mF~Pyko&#i* zQ{$pS99d;O)NI^LHHn*{^+COFFn@svN5nM4QfZ=46N&Y>jUWhSF-O+{`Bfa@!-t}$p)o3VZqo95j*;czBK}0Y>di|a@4rry3{2xJI#|ki-{#Sr~#pTj`q2>BF z(GOm^q=0k3<}b6@io!!f8gra#(6C%2(eQXe= zVXl^J2)xdFC?H9`DcDV+Hu4}lSlnxiP1iI%Q|W7K!rg7HRv-TgG(E?$ze+vuz4|~e7^I%mrC6P>c%aKZ9V$RcB2?`9n;I8 zaU{o3UgphT((MPsrk`;$@*^1;MzP-~g8x@bzu2l<)IIa6rt0yVQd+@!(B~&BVHfGP z?XhMh8Dr|?VjRMVz`gH3YFmTIKA1pC2!mX+cLrw@ab) zt?4)M*=`;YX>GJ#pI_NDhpf@L^PqbNXxEy(l>JK2;{>%`;lPwK=^z14{{x+|mRe{} za((V5Ysr(ZqQLji@@&Tw4NpWrWy$5=8e}t9rPLv?KG{o?aOC~XVUprsK~ktn?bnPw zj-V8K8Z}`2NYhSwI3Sm1aoF&0(<#eRG9pO>?`CS-w>Rfbt+7unrv!Q_$-L?&zNa zw%$T*wT6nSMuh=5xt#Ir_2?|jQcD|5)1?6m+~8c`?tM)wIAhLVWBHfVXltP+hx>;K zq~uQ5NQrU%I<2O?Jr=YUKsqLcY+e6M4>}ogLvVXWo^)aqhV`k+L~U$V8*B+=!(wqV zi+>RAh06;jC3jr6+BG}&^eLGuB9HQty93htUa~DY?EKXS)dv~=x_8gPYX(se$>;^b zDf^C0*$H)e4`fLINFmZ|bnI|ImqOiTH5rFqqk!x#x#&VZFxts`AjQWPm3U` zpzC9lIf36`$NaIt-YhFZ-842){|&;sKttAgUb83cot-OEqr|CFmH7Ot84g~P)|Uqg zd###h1jwFMUNOvWlJafLfBKb}r+<$Gn?sp#dA1wG8fy5zopA}#R(DJI&SIb?(thO6 zYckTBi^!r%GNu444``tM$a8eYK2r1zZz8 zjx!0JWy^6IpqNygfh7}3j%Z6aBD@0YFM8r8BmkMg33Q6PV_V;np9IiWW;k|GVs;Yo z)Wy`synYEo0a#q1wMZn!R*@}p$o^I`^Ny=vUm{rR@e~nPlDzy$Lzqn!hVj>TR+h&~*gg&V$ax{9xflvY7VwBSA$BedG zc8#ol)E(<-bB#2?Bjt++f}qOCiSvi+ba9VSx69MZpFqD`ObEgHv%2cZCxDVlW?o5H z1DtB+m<~xv0Yq~K{)w<)DJ~zDW~wX*!aDroVE?+Aij|7LiyCil8`Jrb<-QN=fV=wm zQ8S@RpWk{Ob6q55)KiG-)7#d{#iDqG4Ih`I0T-Isf{-;43Zzfzkz(=iY8*f6Ku0wF z-FN0L(}>3kt08wX9vqWSp3_A?mhjf+o)HVO@{hw{vT^{T0rff<&zY}|leW3KGXKV3 zCWH%-_F_RMD7A$N<01*t3CIDH)mN~p?}LPxzpWniGY%j{u5;L9=({hd}c^I_n!RjZU*{L9c$rnanC9OSy+_Qc1= zSvs!UE%Y|_8(Q)TCThAubgNOjuCgL%-~dW`l~ zSRhwZcJLSrrli4@EsI;&U5n?%$`==HlsAs;&5@N9BB$MEPYh3KW_P>58xxsG`1523 z#UTBIrsUI>)naRN*_DzVGSPP}py8iyA?tbTX4PobX@-LUFe@eIHT-uWHMxCeSYv-R zJOft{5y;|Dm&*1}pGo|=5$VjJzXH}%zsT=208ak3Dq6$mv7uYI5OsRjG=$aW#b`eJ ze>9zCRFvP_g+V}2N-_G=Fi)7Ma>V)F>B{$bjQ zQ>?)$^)c=Kl?9~wt617m_ialO3S1E%N|v+h3= zV!nlL==P_9f+Pf?)dR7P*2CV?+>0M2h^?=bqvI>zbZFrycOr!K9Mua= z$*79%79Pa#8&YIc2Nso1@+2BX*&9rM%Utw@V7fPw{qnIbzOKtTwnd4=r|P$Ua>(`6 z#Al#-r4htHypmfJxWmhd{NH1pn<9?;;6N4ao#YRcSkiu!kiwgA;lR9miVF#O6TNlq zR10aZEj_6q3aK-78qnfQe>lf|N*|+y-Gg+7HY2x}`C*K@-JsFIX^iM;42NL=+E=S9 zn(+i4_qi^L5Pj<#oG~idL)@;m@kv$E29{GBQC1799DU3zP;vXjt9#cQf8t9CPho88 zTF^o%{=2LUkt`FxY0<%8r;PH3qgtPLp0&S*Cwaa$-Kfw!unid9{Pqz1A#S6qYTKd< zuWD!Vzei&}UQrj1jmOI3a<8wv@4LGBGb922JuIgku z-#gcbEB?5Bm+j3tmVj2tcZ|m)P7~(Fcwf?DM^+dvw4k3Jbo?Kfkg@`Bntd*SIKzmk zT#?(Rk!)}SJHUpA-%|=K02mtMWo8YdTGP(p6W+EhRo$fddwOr5za}FajZUvE*N@|6 ziSw1jUs9}nu4g0dWyJcySO(?FV-cR5lfmHhBKrv+z;UzI)IJjaWXUzbDvP+u`}ph0AAQiV@* zRXJYx6#q>q15?ss{g#g%N(zR^sL8@~o+}mDq3m7``rf+>=w*FqQsSvQ&1kf_8ku49Ol#AknNG)p-06=|2da$6IY#{qJ32l zmpfB)9*18KZvW$CFFeMryq-*U__so`Z0S*-QZ zG-J8R9P#J22V*3?*LTT>&=YJ_;biYnVv#C^FM$%fbouxq_aeW#F=Oois@j;j4=U9LZs~!2X zp4ns^MME#5$-~;jF9>hhBZ!C{jbH8rtLSC5u+;M~*YjMfzN*W>go6X+|7JhVj@R_6 z^Vm6GrGhN5rOpx%g=j|kSvq#+>o1zun_~X5mcKYRKG|UaS#D+o#7G6NA0({xCO@%Y zkCNhLMzYH4JdV%^1z&`Wl9yUm#Yi^v>YTBxtAUpW?w3E=#)_)Xd}pAanX4w%CfZK8q?HeC~*>ECmF3^F{y#4YnX)YpVFt zrN7V-J#>nWQtcf?jSt=X5Sd3gOx;#KWD0<%k?;pR`ns9vr>h~{fQ=Zg)jlbe_CTai zFh=km2lhNz1N1IOq9gv|Y)^mmJgyZl*mNtC(w)`_?z{^H zt6f7!jwjwaN3&2eJ@YkVNOE(|x6f~MsEFL(v#_y3i}M2K&anrcf|gI&XNgK>@G88Q zytt#mVN**XqVxxQQ9>Iu!^+aHj+w%Q7HW2jtOm*To+~;-9^^Y;9M$u(FmtOy+G&V0 zswI%jZyX?e7a||B<9skp2HpyOk_t7$q$uVPtj1e-j_w#-3)5Tvq33q6tWU8^9-Xm* z+tYP;d>J}*#_;%#Mh>d8G8PrSGe-iGxO$KODG1wXao>zJXmyQ5P0HuDt767j-wh97 z2$PL-732Psd$#t+um5#y@G;ue>N&HdVRL%;RS|@pPO33W=!>nbO6d~#(PKQVQTI`| zu_8!4Xq`cq#w2`Jj08Gqad9bB(&*hsj9yODKH1U(@?-0ic>guNHHl?pgiS4Y%|M}W zV&~YqzMp#$TDlA!(Y^bn%N_5&^I;6?oVBgd2bJ>LR5xb~x?x+Izum{Uk3&OfCUn1- zWuAIN1TOAKYpl7rGwU^b!gmpvdwoD&t)(%wHATsZ)C;aTJnECWr*pZ9HwwpY?_Wf< zMOJJv>iWa~)QY9skc+{ZCjZNw~iI5=(QRghSW2YTuE@6wW8B04RQPWqI~44!76tl zs@oZ1ma2;fi0cpDkLIpHcTQ=#u#Ge)hMb~PFelGMoUBos$w}ZAFd(HYF#!^mi3SeO zz_fZ8xM@)jib>JtG?r>vF+OSZ`02Lhq3JX{KU>w(3j|kK{yW*D?|c{=)U?0U2!E@< z#giSE-7FW`>%5^~&}Nh~Qw!Srx_`vy(Wn~_mwbNm{2hd2>~1sdy*cEj^X)4@K`U?G zTSBB?o{swL_}O~eREe&{HC3%$w-30U(n~q^Lmvee^33jELQnhBsPfDn>(Wk2gU=aP zHeqdu@m!-GYu_Z=0oqkBp?1yive_mXNZw`Wh`kixbRX}eR3N2Z`;J!1PH#)}LnCan z!>(GUUrUS?Ng*soQ46!55+C{Hn8s4E7~iX2>|0rA{1R@9VY4IQG@o_(Slirq0v|Gf zfthh*v49BO6Ojd(ngY^`pXdmj;!;~4X9WA)t#YF#K}i%lI1@lJyzod@0i=iumS~g+ zUoTLA-XH5KY#&(?_OAL^?>MT?*WUeO=27xmQhNXIhI)AE^BZ?2WV@FeTa~<`h8j%= zK}NzAhh=RrF(7!qG-+6V0T_!B)*|c)mu&K4Fgr0%b1I}e&V2nw^Xj_z)ret(i(71fhXhTyuFz;bnIcpafl^&>cwF{q_*-BQ4LW|Www`gcEM}<8%HR&px{{qD*o48 z9rc71QcIDRu$=ncpDS_ zS&ySZGI?XUBmW{ff>pp^ENs6B;q=fNG%(wdGxtgHxw#ZVMIJZXf4Y=vz8fDF|4(37 zTr+F+%^q{{uFRd#72OL>Ow7l=-Mw_xH9Cuube^SWI8I6v0rGFi7ds zGHhgIuw1!eeofLgDr51zMbr^e^e=l0K15x~Q^=2h$TP5SsGV8a2y2cBrm{Awq?LsH zF#;#=33&xwfRhKAS2$_`I2YywJ4aU-H7Je7$w`ijY~r}_7XU8>W21e_XjLQ|?!Y}{ zUOD%lxfESwTc?S34=U;g1NT=i0wRln;}rrcDJL^$ko%11M|4c-qDL62l_hF7Fpr zAbM9-B<;`MR`h46jVSfn<%waOe1N}We0wIbM;~Om75LWyS@F~zSjiOjN9|+HeD%?1 zu}fUN#(($^4^2q8e>@tB|_9oOT?6UoTw;Pg?TbQH!j z=BcbE9j5-=EUjRlfICEYVFI)&+l*=)twZlh_t;)M$h_S}qJX?ff9E^&nyfPDSDep} zA3d+M?<-h-s`X|zzJ2vNkJV?M8s8fuf552i<>Sl*oD+B7qO@&owVg8!NINBW3~f2e zWbpX8N&Du0{e9UzPJbn3+=_0KFf37WO86~655Ah??8m=5g)9whP19(kGJkLCZH2od zaTJUrbp;PjT$kB7m~*GT+M!@I4BE`g{#H;YjrLu4?V%TcYx)Z<+Va&xaOMW{n z3jnTDQJlqbfwWvbd_;AiQIg6g(p~ViDYoF|>2{&{qC(xmB-Eocsc=a#?3+*QVbG76 z?t!M6Ex^B5#=bi~czVp)ZY9t?0$VM%(rHiFJnQRajOy7)yxL?6UuQa5b8B~~1zm5# zEO>9!{!~3xI^M!uZ0@@{1d_~G!ec0#kGg340)1%v`sbcYUIqvK8LaGI8rcI#*HMAi zzCGRKmK9Uv!-aM-v7!D=)M@Pjl*EBo{Rt+oS|U$?w8$wO07{?}WxOMJ_rZR1Zq95c zo&jd*7?y@#QsbU2WNUdb;FQViU9^WkuScJUDw~|G{Bzj&^77ZqqWXINk6O*+%fn`> z$}%GUHWfSd4^2i3V$;;Jhq`km3ER{^_4WCxUe|WXts^WbP6U1O>{fBBcZb;`e8S36 zAqOpFv8a7Z*P-vsMZS)bp?Cb9Ki&BS5GKO$6h}&=zw2Fpyn zShB*w5cw|j>@UzH3#(6b3+!(uJ#GvgU#sXVd*x;WUa~stW}*qW5_8>d!?Tf60$e<8 z5yXNUh2$mhzXBdZ)IJA!>%?y~`MIaGT6S>bq~&glQ~Q*H*f_ilE-#%`WUoDa*_>~E z+=2jR2S4RuAMp29Vcp7He|!RVcap*PlREj5Pg%TQpmxg{%t3Otsr6G{wC^* zsZyHBs|6EjncbP&?^&xD(xcwb`n*e2{r@ZgSZkt~96XLU1BVuq!97N(`kT1S&pb$v z{~;c9Q94PV?y-gMp6>lxDqWqP6>=PLU<++LUU~<&tE>c`jCX`IAf7l1kqv=tk3(d# z375;fk?p}}T%?Od9f<20K3gXnh!9XYo!$1W7 z^{*;*rm_o9HdX&EB1eotHo=LH0j9hV#txT@{N>OxyoN2?VgwOjGJsG=sc_<-@uZlD zy&pU`Ci?Coy$pSq;g)$#*IiHgl%~6!$e^w|AeHrVqNxC3nG7gdV4Hk zY+y-?KTW7w(0jZ_*0R7Eucscq^X>KGtvcEzF29Fo1Aggt-x{^YYNlu3d)pEDxt3r@ zgygaw>hny;n{1`3n8fA^e72Et@HOE4HTw`ec)onaow-zKF@|%XE3g$zj&mMM(+M~+ z6JJEnA7&aDpB*lC0QaSvAK!jFjp#1y(4kf;_(qOBXe7woBr9;QX>1k$@c{bX|8xgP z8=hQas%fixH~bfd+R?8vRGs@)YK9QVNH})(?GDtWvBGKco9?7s^KwdPfWga{>iFxA zU3}LruX0W}t)4@w>{JE8Tr3{#TB^2JdX2`qB%Oa=bgqEKXkm}S&Z$mpZ$D>g-wqwU z_-+@B%n`7Me@yorDmIJ$hxgFx9iYu9zX}*gA zO~_T+Q#E?SC5SjZVH}e zqy(R+?Vmg&kJCkGYi$mK;5}(d_S#XJ9Mh%~P8jB0ZnzMcO;sugdXeQivIpuKkh;OS zJp31v5PTYpIaxoq(>nFr5)bWq)98shphw8-I!;|OEWQL-5s-)K)zYytodr!A9JTuU zGzvrdJZVPngP0 zkrz>@RBcz_Q(^<3J77SlwF#z@m$Hf7+~kH4G?oUEf6b_o$oX==uemDIQPfd>$9z38 zy@MePTz3Hd@a|hkeCuoMM3+C1t%rmSN>aCA`2*#6z=TEF8CML`vcvg#bjM51%CR2z zZ$pj@<(4%}{s$fBg*nPJbii1s+Ze_O)k+HKYmfPleSvck%i|X03cdVIy}z{Te98A3 zF+b+G8%DX6(%(4x%7IqoN8vaHh(*Y4GG7h+JN}PQ^g}b1#7AyhjPT&(LRi?+cw9i` z$sf>dCO*^*^7k-*Up&ZKFGgAorCvRR1q`Fc)KVeSYKRWU}1d z-=|6?>Pi-gI8U|4^u3GeyOF!Pyk_fa`%<=<$Wd-Sx8t(le28aDu?h%3vo$|Fn1Lwoo-8x3sZxbd|FdN2Pqp0`q9P(AVG~&u^|HVbf&DwEt@lpxP#@?#> zy<43EQCU`=7b>dPg&(QTh_RiGdTP!HkGx~j3ptddThip2di=12rfjyf978x4v9M0B zQsS*--W*_d{Mm{@)va0kbPeXA#LPYc~gAGQuQRPB=}+_I1I_3esw zNzEII3l(qwzB4H58| zwz6g=X@3$QgdZ{*Gh!2bTdaCNch*5xz0?I=@LMO*bk1`bZ#r>lxHw<@__NXR`1z}? zhyd%@pvi*OGRiTQ0P!hV!r5G-8l4R@D!BXr3OynM(;IMHv*WR^TBx0$)y!I)NCq5p zfRWVY_G@p<=F~+hMA^iKN~8RoK!ucXr&&f?_&Li?=D!eDf<*boL3W$>5sUTDwrZ~O zI*Ae_LyN=vW};DFtxC{>aey4Ikai+uZ3OhjlRE#1JTR|ed6Ai~gm+R{DaZp|4W=T% zc_|Tgs_eh6ut_uRY|gI~FccSCxp&-1E1arJo4h9R+UH-FF0D_@)hum;lmeNIXRgI2 zzv>cM)J5X@f30eZG9J@b^X|z=W;gvud$D4?Sg|odOT?%AaD4^6S*G|SB0M1YR z3AoRp)&(6BbYgqF#!cZGaoIo^S@An4&*qTBU_>)V%km(e=RW3u{OtPSUzv& z5qOI7e;$Z#x-tR4Hz_q$`sa&{$I!)0l^}bd?=)Do(JJ2M+;p*lWXlM$cOMFwuRKks z#6#0_w_ep&MpJziftWB))?4S_4~wjfLRRsO&}uXa(1R*d7+X6Hr#X9#!@y+n~|b; z5a!hv8`bl>LI7__-T{IXc7|x3eoC6GZgH2GQtQB3p}x;NYB#l*ibga@*)98ANuhN2 z>bEqejo(~BcE==r%a({Uuw+==C+Bkw?>qm{qzzYxk++lXsRP4Y>QZv$D>J z9Uld`p)Rc3kNHwu0?{*~g808ZaMabs6siacTupA(AEHLDoFq4HW)YFcOhv@^c{lCq zRyMwjdw$Tk6z1qA)8)UHNq*ZICm9}&zz1pXnON%2{(g#gSn7Lr#ecYaicXtEW7d`F z1dJXj_t*dZA$cQZCP{SgrRfkoAo6_yYN&eZ?9^5PNkNAUJnB$8Q3BfBPNmq{nXMXv zsDqY-HiFsVz|H0G3CrFLU%&v*q*+oRBL(AS4y=NSz77sw@#YZ7D1IBXc`aklPbFnX z4QnKC+xsi<^M&dRGv0K#FZD^mrSP5*fR)=U?54(^B5kfSmK?gnvs$tW|2}#@Ew@ zk5s{115SwolOI$!%rAZ)=-3S$zwtrc7hR#Y*To0qI1OoTmVkzbl8t3GeSH#4U_W|R zRL@+m>udeqHo3G-7CO?1f5GY(T8l8b_bTZer2kD;fV33I1ub(aldwZ**&fV0G4{6d zrk(xHP-{^Wjy^M2No5w!LICeaHzL3~qt?%+wQ>t004^F>*`HV$*Cm|!p#iDI<<7Nx zIxOwWY7b4@pW}!&&V#OjKtr$61dyup1GOZ#(JkJCA^AF-y68 zrr%!@yLW$ykg_;iOGTs>=7V8vOh%cs$ZZJ)H{fLvJ;r?hC#@o(FPxF8V@nvNJ2Fqi z;CQYJ=d{W|b+Zhpoznf&P-vQv$$smpPO66+$V7yHVRV?byn0bKbdRNHn%v-`zwmcs zuZgK{8QLm99`fF?jX4-RG#fDF^`&Whv0={oG9bIslYdd-q;j=+bHLt?vbgc&gEn+~ zH!(X+pfaR|_k|px3FV(id+ZVu!Z$mrWey^&0_*F6_go9J0#tObad;Z{2!a;?FWBM%~t#tT`ur74!;%q#MgEt`%ClXxAkb z`NF3f!U6|=kxeJ^zIAjm)(w}lmqpCxaz|347Pr^-68-^`fvVn$K7Z>q<5v^WKDTyo zYm1)gc>fZP%N|0OtGBjvEiQ0XG?9QVclK$JrqiCY3bxPC?J&hyr8XfjN7Da$FSK9uzpIo-lqXeD&si{bW=Uv75X25mR zTFd0tSPIoX_@i;WJpC(zlC`BTe~E6W)BU$#5jUINppzrtlvN;rci47EHivkKH^;xw zuH1o6SQZ8qi?Op0N3vrBRQ-0lVXJ+hJul_3+buWv6-61U7JjELc=MNOEpe44{MeDnfP1?3rf)He+OP&;!HdLLqJjU!o`{6 ztu7cP#{ViDKJ6s~EcSdqlIx$f(4Sq!^|vt0)VqKA=NW9cnEgz90X}^z7emTkkxjJep~}c^WTB zcs{Fis_?ni_zzm;5tz{ix1QmP`ev9i!$h&V z$)e~S8S$1Ipd;b43H+99ir-Z$%1_GA@6RfG6quqa()cTQwbkNU#Ao~o@UqUN_u6h17-?n`)_=*{mXf0e>-|x_6EbFkcPJ{Bt7}E3J$L_ z9)C#C+OGa{{leg_c?I&{WmB)FgbX36(@#InGRB~jIU)#-Qn?+d9dQlw>JA3Ztbl6A zo*ROhAAh_}Ofl$?L>a^sN{P7HK5)UB1@iAgV1*#JuYdGU=EfNI{2Ba``2D8IW`F>?L! zXSh#AC>?h6F5+;Rssi2a+bNlZQP0dA5qFJTMi*EfhxjtKb!e@Fn3WRBDSpx& zkbt~;e6!!NXVPNLgWsyFx#FJ(=Dx?#{ciQfrf=mQ)F&j4FoC5I=a-G2fBZ?6{7^3J zx$>=4jH;iGiqiC%Moi>!rByS~6cJe=Ox9q?d|5c!+pKaKk168vV^8jd{UuKI?J&Tj>tJ3}~d1>z)1i4XtUYpQ4m0lznaS_1`t zCwJN^Z%&u%zT`G>Yf$LvHaGsL@JsF8dod;Za?YbzrSe!(JxiBx?_QgsU}Z>y$7j|y z0dizIiv*G;ylO=JU>2THPw3>j%a@KtCK_61Rh+v|eSIoyyfP!I{$+|Cq3WPbZ7rDE zMD#<)k|evqIP39>BhV4)#Dc^S#)&-Uw5t?@ADj@v+wWBSo4`xu?b)L@Q|2t`hj<`f zXZrS7axi|Z^5z2f!)vq#cYP<~FVtHP%Z3mB3I>&Lf3g>salpcd#X87m|D|S)62R0KEMedG@kl29qyMp*~uj zZe@Ba4+ftfCKL`he&u39RtmIEWKG$gP<#E1KL++9?QSRxP2KKaP1_zylmsT&OL17u z&%V-y8j()_?u6#8DVyCAHa0b(7JX@=2cV$X6k`UISismW$9J8ugT4h7$E`}3V_f8? z1Wv16<^m+eq?p}5+bDEv}I z$hjblhO6VA7kWCSyu-69o}uUBZf#Z(K#5=q*rGDLKD>jn(=pXTN@+7hRMYiMzaSKA z$6(KM?HW$&S(<3tG;+?S(+Ue`i34WkdZ_`4-e4bgtHin+nF{ZN+?vuO#IHx z|Ku3;Oy=DZ05SD8rNgBCq?%etCi9yJz%la+Dev*uRn=vWogD>El_d_sMwP@0AhiC% ztUn_w^x(gSoK*WiBb__OYmesKD%598k-$Du>G^$SI!y9LlUHMS?^>C%M0YO?INZN` zQA*VjXXLoPt^Y9`uTYXw+jT^y@4dNW5Naa*0?DGKke)3=S>o?&5xC4WOV{1sX6q z(34t1H-3@#H2M&@|5u^L%VPRlUN#QX-BC9SbDNgn3uWETgdAUzIZW4`@N7R1R&f#a zb-5u{F2IMV@eaaGUOLS>Ne|VCstg9Jbab5RsK}#Htjc;PPz;IoPCn`xF`t= zBE56j`JJSg`I98i^a`VMe}j~1B^vZe&EeJw=ruhAh3t?&{NZR{t^yWew|9%FKQ?T7 zia#!vdxSDp7lEkjKkLaJtcR@F|H9FM49=>ZyiDjhw=sH#zyI`%-m%Unc68xOl7AkJ zJZb2VN$|7ye;(`$^?{ohuE{16BMr~rB0Z)?RIz5_I+sgd)ZI55!Ms)iOnD}t>l-9^ zpz)+N)vv`sqv{1Hm=>rqexMLEo!2bkh~rfp_&WKEEvS93b*l2HE-tbE&!CXd4byk*h!7(=ti#p7bA z#ar=(Qxpfcf+xafbul$i=%H? z^Af%cHR9PL>tC8DkRdXqR@q@MEDIuPUioK&0Uu)S^ z^{#~3JpU&p8qn;Ikfy7=2KB9Ol@zk{^2|CFHIiI)J3h;;YClc?pKC<#-**}9&uUpq zR2pLr2Sp^S_BuMn5(S$lml_0(wz14&@5ms-N}$enf?#DTyB}coGj?x`0+MqQ(AS|! ze$8H^dwa?wLJNn(Lc;1DHYyF+ax~v`N=lg96^iCq>fz>wmNNB$o>1qmb(>_M}w8VC*QnNB5^&Ia?}gFAAsstU~{SP zZutNu-|^wcgSL(79MBsM_fnT&qu-UsB3Z>lnx=bvee&VAshCs!Hr8EB&DX=wv%Wfa zPws#*-R%oP=UWf^o+&yi>-HaM3P(XgYQqoGDfq85g#&8AOF);|8WYgyK{#+IV%opg ziWhU|(kF8z^~~l@8teaAfV=Hm7WG`xr+(jJ>p73MEBHm_P(QXZ2A5@bUEVbdjsI!Q z6k>5JcVO5#dFlul#*gkQd#)Q0K_ppRO#VCmKt3*<7U;2#uWPFunz&v+5%f$WBB=3p zs=KR=VmBSiWIw#Q5->~NP^(f_jUa*R=_L!Syz98yyk`R}uwnvWj9X;d`8G;0bhE3g zO5&XDZaF_Zse_{Z&8nrO7^_WO6ytXIQ9X7fDah;d<@dQ0b{TAm0qUrq4#$qn`O-RG zHA6Kyi7?Z?69a+Rb5?ER*o@5VnR~x6wtg8DJB7UaH5#`>yIupvD&t>NndjUsj$hwo zEq^qB*VFZnp0i)(*lKBj?J@WgE-+Y$6eYczlSG4B|7Ld&5uq1*q~9Qv(GW%(R?@M2 zKc}jK^ZBmi8jRt`P^n%_8Mv!(Hr)oiXOE1Mbc{F-oqh{zl~);wi@4LyT>%$o34%OV}q)^h^gs#Vg*_%5`=6vD5kX};d|h6 z*;5ME`8sf01;GV_@PHT*7CL`}IgM4hkFP7LeD(xO3ksH2vX-#x7V3WUVTHw=!%}EQ zkCJGUCttl6qUvAVMhah7i7gnd6aLTtq`yokqEX)27{g9n{a(DupX+iT9+TyL)VF-t zZee45-(tm=8-?Of?OaPcw&1Z2#??19z>Ab1Pn&9R);CeGsCD(A{|AgzKA!_R^!%hZ$|k5jEaSE#G{T?)OG4y(iN zU7DixqK~CNqqGq;(b0JDiSSjE!3Cv@UeY`-Y~Cid6W6uh3je4)62-Mh|gjRi{s!`052H@J<>O08!P$0 z72Dz_Ao)P{_ph#YFJht2ACLArJj{YHo=TC0t8XH8A_K5n(m zk?6_#X3XlDOvy2>?Vn0$2q*|inciwkd3YU_$0tGVMAzcG&odUxcfNru=~u&}sOmtz z`m1kSaFOGnt^wKDSF3Ze>Z{)1C;^$~wwXv zk|!M#&@Aqz`F?53Q27V&@XBjt)u zqQ>=$iqk@EE-(9e!5DL4#?ZqyGGSK&uhn;nWA!hzG>rt+VWR&I$K1g^i?Voc!x}mE zG+d>+VSPWfX?@x@>!aieF?O%brXS&3aj%7-^tdr|n0!>z9p@j$TevrkThP3dv@J&l z?zq<$JmIdW8noy+t3B`YugJzRpw8EEGABq`Ts1|ZUpBseB7N{)j19B&9M356KfTp!H*62_F4TSUL`Vsn^~y*Vu20hkX4JI z%S%NVb8JLm_ptn6m5Ycp2d}fH`(V#aY#JpM=eczyq{!S9Qed>P3o8!%k^E8IcY6LD z3x8g&{19-|)dW%I8o#iIT3FIoA-eM%}p?pd4z?{?E#p9zRt! zn%W(5@#4pEvvY02-Jy60^%ADJfsOR-rJS#0rubXvRcKpHbN#FQ2{#KH@IzMB!0ztv zq@mq+a$hhJccHSRYr5PbLQF$UH+i3e$15e$mjoi~#yPAW*Tre>))VV7R4Vz{WY~l3 zQNuDm1S~P;A3S>?QpI1kTCR5-^Btr>oaH@u3gYd8N79PQUv-ob@eKP!`P8~n|AZkcE~Uq_ciwN}R(LNPnzmClU&|SpDR*2zr&yoFXz|?DJvs0j&De;5 zl?l%xh_=@pa+V9g#vB)op1BbWSybd6mrTyALnm|Uq|rV}hMRASFF1R2ow7D~PC7r9 z=Nj_A08ytDA51-p!*4ctYECbBb&UF2H()FOWFc)lVC*9iILa#Y!9w~E58Jf1YXL$v zo&7V5Q)2kGLp>3X2h*#=hn05l{whK_o6iSq(SV^52A9=eaBMOuR!84Y&*)p*!2pTj zz=aMnd)j?yx_5n3$OJl<;Jm*+(t3>5;UE-9slStce>3*w(k z>8OQ%M02Af)x!Vr+Um)OwSxk~k9igMi?gQT{AV@q! zjY?~*Y(q9YLK{tqoN&nI8@)seKd}00xYx#lcI=i@kO#pyqG~1hJD8tZ+D|=d{qSfE zTCcO&gQ_;Homl)Awyd#g#vMg61e3O`ouWYu%+r^`IehbSVvajR)`Q-!A4FqN8_=EB z7SCfi819wZ6VABGJYO49eY6Fa(WAZ`D9JCGi3;OF{(F~_R2VOPdmJwb5^H>4Trh6{ zx_e#A^zfcm#P=J4&x1BPlX}Kixus;2eDkBbal-4AVap>el5Y!dWUgK4N>6_%7Ta8} zX&&A#l(&e#P}Bbz-!$_*D5FqZHCP1RC`Cy&Um6q31CFVA>#UxePT+1|cLN)Ymw+!u z2s!rIoTM^a)ll#C2GVUAO^J%iSBOSm2fX=RDT^B?E~O*$9Nc(XDn)Xn-x;W~(d#-J z{^}0L*juxUOj)2nHs6RFoY;!Z2p~DCcG4pGG7CaTko83u1$b#i z`f)KV$@y1`U-al#=g~RIcklv4tpMG&kD}X{y33h!-ru>1>l8zQ4E?r|l3aZn8oNKr!&XuXZ4x>!33mm;vxBK;gbTTIjrv-Nr&W?Nc5G-dHITZ`fUaw;GLkASAF#CY@$KM*I3XSiAQF)VD^Z2lKUZfD}~2`OHmwYtI=$g zC<3^Pl|7*kkyP+OfD0Ceh}_gs9bv~z%ESZ}Cp9P%KdAAvQY+jHUPJ*oW#{rH!d#h{ zrsRl=RqK9*xi5j7#XON$^hhhui#y7=NgYemjy@sJ$$0scg!A_h`V!9cvP65TIzAo#k%!v&#g#C+@v!l2}S}& z(!qC1%fN%9tahed6qo(sf4>N|dFhmXN3f7RV_Gu&Tpk2RTh0l{%6*Oo$5NWIrnx40 zzxZMNy`TAbWkJxN@DI{qY%0fi?+#N+41EVXk5HmRiR@8q3L}=u=dzW&8c+r$P_bVU zE;ihaO6c9H9#5m?4>hFV8yiR@={mm0kI>O_I@$DW`Xt`SfenAmo#7m743%l>rTnN) zWWnvdRQ%KGPju5Ktrs8r$@S#t1@nagjhNq+M6DiH&-+gBSM9JuGC8F;mhHn9??oXS ztW6Z|{vv*7!ZS4mtA@dCrlP-IxP+^WS%(dbo#Qw7=dt|W4?F4a`)(tn*`g_A+>AVV zcF50iiN6L|O7;;#Wns2clCMF5l&~3V+N(pUuhg&uEI-1y)w- zR3)bYdIr^7|#8e_KjE@JIaH7ABGaR{DSahGmvpci!tIbm>bzv}#(o|)Y}Diy%D zsZOJQN(6~y8_|HrGEvC+YJS8~0UgMU&1gVn@+;9M=(9!Jzwd{l*C~d;o3k9L<3fwE|$`cEh3wO;g|y} zs^@Vj37NUkk#AU9=1!Rw7v|8k`OcNpzs9k_h2h*Fof$+?N5je7i0V7*Qy2+>v&PF{ zOPk`#h{TjS{(mKPn^*=|1(1w80Z1~j5AuhmuVWWEBYX}4k~FjzLZ2If}uaX*t+FaM;PUZL*JtKJd{9HK|c1hQo@yCi>2fU8pN7XY8115cp<z9RoP28bJn-UUbbvE^A$@CI;e8`9y7&Mozg|wZyt0hP zKKU4qe)2K&C#Nx(*@3BRrvLz_o>>h3IHM)U`^C!1L=WZXscZWf%*^7{>8DcJ#VwG&#)Bl| zT43EPZ&su@S+UM^bB%k)qBB4GHp*NLg*)vdUk#FnHUy8iEhC3v${YX2<82Q{kL{90 z%m*!=Bc(%)f~CkCN2xgu@6r`04P@%&m&2Ply)_Cb@uo3&6N@wPD^-%ij>~r~-f87j zb_s9J;8pMzS1S%FN1}$eMcIz4F0NQ9f=r`--VKI3j12FzJi6pc>9DJ4U;?GO6SZU8 z;hpJ-ZC~n*!&{SDk9S!vZb5)1lOFF5@hE6)jaz7UZXftFl9*wZWuP6kXxkQd|LQMd z@$_QiEl+N&^AZ^l5vXTefbQQ5b>fz$@HLL1xaG?zF&gMfl`v@{D@+)ZZsOuMmcTC6&d6q$7iMO>eNO%*x4+s1h$^AxHt!aHtDCzCF z#B$!=3H`P`+peW^9Nu!UPYrL|-Z;E%%m%>u@Z5&m*aN1$+3f=iuGZ!@8Oz*=r*dETx zqiANuu2IwA&;Ihblb};}vkFLfwC8!|d=rT-8NMl;}p^LVBr9;@GJ)0(9f8K#j>8(sNtT4!8N z)?HvuHe5M_<0s~E^ob*Q?30IaDn2}v*g3aE-g@DZ=VS{@3rU9%Wqn}(K{rO0pBv(D zpIKDe7?_^yrxmj{c6svTaS&e1$zJ_AnUxh@{W)2-TVm~;EaLG>&dDk*i9w7v`RroiJJ z$r8nNcw4t&O}F4p8^XJ8G%~zZaPbX{HV_Oe4eT3XJ>Fev&7X;(w03djlO)p`$a#8w z_&`pkIo>|duy~uNff?S`Iokj%53a4_(2W>5ybBdjI~OHzV_qIYT0xo{)EIjnw$u`ZM4l*|gC#3fCjXy*YTD>NrNqe z<2rcfV@V3yl^P}9220joq^{^H`3;61*i9fLKzeH>)D3$ju>ER&)l?A#}M zPZ#i(Rd@|in81<}O&r%mXp)8#dc6j(yXs23?yB#>#Ke|p|3ZKBpPi4ZWYlh+T9U-P z0aKIsvLA>-l8z?Wn(3`mnB6`wG=~jwza*Q}=Ak3Rlr2rD9YDR$vD@%-2)5Br>qUfDTWe*Kfp z$zmm+lM((&$IEsfSb_26qZzko|;@6}U#m3~-)RUjRv?8kB~XrM%(-}|kM zcs|W9=1G&TBq*J6K}X_EO0S2vpZK(jAOV&9D@m#1Z7+hwTUry|WXZH7R5l52VDT=) zP+0!OsFDw!gRBK_mCS|WouroVW-nmdU(Gy3%VB?4hpMZCY@!a-@b=@+OV!Z9Ncv+- z*8&VZxVC(@kmi|;48k@XkGFSv<+v^IhQT{lws`v_#9-h=8+#z%ztUkGC@bEb!#iC4 z$vzJ4KY-bpSv0)~5H;vEgo$1}|ESl5m2k{B|D6}`zJK)#c?2x&odJVq$_K3 z4{h=GKHm4r+5gFBv+`zJwo=Z6_F%tkFG^L8_r6-8EmO|WY>%1bR8!>JkkUp+fS2S&?r6@l2ZNE8X*MA#DTd9N4vZYZoi{QSTFJcz?v z$A#|@rRz!o@xd0?8sD=sv+iuLux*!P{k8FCK+gr^JVsaf=lDzg+y?teg-|oa=vDo; z|N9u-G>SY%w{$2+rM*qbrLlDlG3-PgqHfuj(*P>Nj++=S*7UCC87TExv*+?4v()eK zy&j{NHa*F};SEz;>%CYxS%0#R*_i?MzGE*2GqZ`h7b_=QUO9uqfAT1pfp@>_r|{8- ze*?ew*yr%Ozx!o;?oWr9zIHX18{+KqXG7dVqTd?3Joflu9De+0qQ&8jS7uITua`V0 zqf3yJ$yhY@XHz*@8ZFWj;boJPWxuPf`!45X*nCbVKUhmx8@CQ`x&%2HsWf9#Fn9cT zW2~E#8Ob@S<($quMi&}9-uA(U_n5Z*&HTUVx6?8q1ZDXne-fi$$@b=r%s=TV7=`nh z6kvwJW*w^)rJ8x07)V*WMA8DQ|K`Is_7@dCZCN4xEVXK<=OlVr6C<5m5M}gjO5#F5 z`p#zLb!l57n>O-3!$8#bRkdnc5?o!jfs2%6__wjB0?JIeI$1a#wQV&E=`IDlo75ktRZlCQ{cejA>&EzjmNuj^jO!TGV*wf zjJx#oIrP5=U&Y4R43?Nu%#cnlgd4!yE3e(9juuP#(h7I=rP(Gv?R8+tcP( zq@<^cB7dK8i(F{06VUm1sXzcVhbjSFltAk ztXYjkVBGz|d$91#(@kL|qxDupG zQKGEalQW7M^Zmi!`7oYdT7dTiDuv<7G8Vt|6n4z)L?bH>sX=ec7ECk^Mx*ngq~ja- z^S}PIL&Zxyzv6GCc-u2ltbXSKiTnT=P#*T)Y8~lOhSkW#D|wC=v@uEt$q_w^j3Kj zCXdJ4`Sw^v{ipVAd*_UjE^e*pxPh$jkpuH}8);@!4Gz{P2)TYl_!vaTj;5}5GNOZB z`Imbl!MiqoDZ8U~DxG%*7{2^Nr_R(-kVUuEhilB1U*T-F+i%$y&wbPS)SJMTi3xP= zDDXoP2gcZShqvAEHcVW$C9Ym+z+D^UocczX7*%l>eD^2&xcyys;K4^e zq#6@_9c8P*)XJL?PRi3p@D>?pJNn(9yQK)$&i#^cuMwvMG1Q>=DT~od8|?3-_&w^h ztfr8d*3-jk59Bv4Miu0^Mjubv=+e?*bCpo4H4Nr*?LcU%F&iA6_PdMG%|p&yHuigt zw|w77PBt~!$DZADAOZ&0&0_W~J2A0k3mP!mwgofe*|X2$_-Bvd$&<%0JU3J(GJ7~S zCqo@?+rqIE^LYJLllc6<`@dWZtKS*nYhV2)x+~s*;mQz4KJjrJxa)owyA0zSco$Ab zdm#M1mXo=f7o3w>&dKt!7T%F3)MNBb=VWYs^(!qW(+SPs!(XDDOzdT4%wEczOh)r1 z$;lXLf(`7W#S6{JHWH)fK1wDbYk1q2=NOlLQP-v?@e(1qJWK#;uk`wQDPrOu5xl(K zTN(+@vq=g|c^k1q{q95RHtkzLOzLFT*ZTZkh=r&S)e=baEI zq|_)_4y`O~oe*f~K+~Ya8X$Gw<-yukDZRnh&kQ(&h@wQ3(dUzajo~f9Q?7PCEHWcO zg1CyFu8FrHU)~V4QM_G8taw{G>|38K=EYdSc)S~Q4lAk9pmR@)%B_S-qw)avUHQly ze8#37bJDIKXI@rml?QUX5qW~an+hH+PWs*GPF(3iNmslqa|wui9Z#eVs;&!bxWyXY zQ2mC{!;%s&!>P$W4(vaG9oG%O%;-if+SOIG6BD6aT=m9nP`&#exCe{RJiQ^j4QYv| z;mW64O$Gn}AOJ~3K~xa;Ja7*N+jn4kYajis)6v%HWP;@_j9>9QeaKVL4ObE_W*schz;|-Mh4L9}^Jrs1JiHvt>3cPIyQNugG z@-dR`M&2Z81^Wb>V1v4FhnbV^0i4be@tje#Y2Lb66FpA93BZVZ&`A!ZSjUw0XfWRb zPd3b`*Yrm(~)v9>E~q2ypd8o-6TM=OV+AL^)CA!+6XI?DEc9bw~Xcnep=Vt z^o?AUSTHd`Hj$U(%~2j2bV?(n_yeg`064V&kSIv_FP2*!eFxpB7i>%um8gr81sy=t z;CrtA9<*IhG;Uiwdv=J!fAVOeCLcSCON*F$#~afmAa`L46g6QbU3eRAps|T70&jfF zP8@wg&({;Yk3R8n-1yf2MIT_q!S}{(Qx?WVx0E3Oy`Z@IVnmq)VMaUp4ql*e{#Mv3 z>-nBP`6T}K|2~EP;pqpcm+?(u1>@Wg-;DVa$Knf* zyj{yDZu5A{;a$XRs?XMv!1Tf9UhYptg<6i=jE#Q#R8-pZc)MN+MU1Zf*B|Xf@frO_ zTCpR3B9AL1MoAnQCUv3)g;YepA#Eaz#V+1!j8qu%2Fg%B#7OnHq~4Q$96ud~*!IQc zWK)xU9Nd2ZJ7#86U1p#it)d;RqSxy|Vwe78AGhDS4>#?e!|~6~r|`fzRcm6($` zyk90c*;;s~8Zky!dqivC9Uq{P8OPRPZSvamB%W-e&x32Za$##~s3)u>5LurPN@;eY z@W#W=b2D;CIhC=-6(na9g!W{1*FNbNBh{h-F{dYQLbtT$-&v@ASYGX6knsLK^v_6J z2^bODz}Z` zt)+5j5dsPVMJ4h^&MRIr^`uWw5+-Burtn5Kqm1 _BsfpFvj9G=#uvt^9lh`}V% zNoU2|2iYD?6=>?)@TD4(juUeCH9=b&Z)wn;MHR+r_~!A}wv+K>m5&Y5?IGHM#x5Cd zolL0VZB4n*(8a*cj9%oMx<>hKm2cuO zk!Rl=$B9?HV?Pym18L4pJj}RrFM^ZSr?qZNt;pNxI4s_faS(WG4Bk2-{nJ#d`wT+E zK?btT%dT?sF1L=i{VuKNfqW>SGSn36C}{KjE8>bLy9*6`4+}c|MfBK*)@k=uZK>yzL7PA}O|Z>iRb z+5BZ=FdtuAtTvmI?b$ttgK?6c5QPUDyRiCjrljN3lYPv+V-KEw<|!;Lox0>X**8aD z!wi7O^>o{~r~Tp42d#HZcrv3gDh_wekc$jPL=*K#uTfkNLU%gGYn zLGxY>IazMgUoJVBMaWa-ZQhHLlUcmu?TzGQYvFD6Ru+7Pn9cWR@lH`5DT;Pgy{UG= zSHm3RiVOYU$drl?NJ0^2?668OrU8!>923l-gC-sR&`CM`xip`Z? zDrTD$=n$#h)wU_CtpicPVU#?|q@697c+bXTu8?`JfNZ^Ks*|eHhW_;dhILAM2Tlgk zk|>-zyN`b_SFE+XxdLKH^$&? z|JsQZxz|?lw$8!_0n(lwzv2M$U?#H)>2K#+g)1eLi}O;vy~fxpjVURU3UUe-7>FzI z4r2B#JHz=bMDcvM2B5HFgP9-)so(o+@5fW8PgVO{j~4$LptbP^Jl+HyrwxVwdreqX z0zycRxsBzZPhcxF06K9tTp8l&XHF^KO%r+be9Ly-<0s}r0Ap;nwBKY1dSBx8!Jbvt zWRi1uyU9P>o44LMu(x@)gsa}o*=;v z7ab*SOo=lhK5%wOZEK!7s{i&crcxU&b(2kCrQc#%9O@14ORUebfoE<9tmfOK(rrN_ zk9VQpktlD6RF*0Y`-FTwye*C1hg-TSE8}hKn6VlkYC623c;}Wq;jKZ)7`(&h+i%?$ zCY(slouc#>$S^72gdj2o4(aXFLJb4lh0Ye17O=3isCXAKTX?y}!k3=H>govGg?@wH zG1|7n>S}n4Hv?%xa(ZhYJGRd%Mh5RkKk;$=?Au`-iH42Ub7W@-f=TH!<)$B?X@ONl*A;ZjM+H-@5i(F)5hprIU*%#G(t@61^5ZQ*n*AG z^~il~$=0@G!nO_fOH7nCY)i2l=QOfT7+9Sst-RN78A%Z`$4@)%wD_BC^x z9zqjJcx#M)X>ziiGXosle<;bX>5+?F$i^-f|HyQIavBHjJcOyqDTDW=$;tk|e_Y1- zE6+PAz3EL8_|_F~Fc^ExERW2DAKASZH|?6kwzxe%=}O_lUs*YsyDfH9BD?TnD&J;dz4~hNx5&YXVYI$4xfGqKT_#*dmT zc%z@22RDg88qms+ChE{xS`5k(BsW$brp+{9GG2j@P-{?Pg0HG{yh@0KL;HT9*-yfU z4nVd#9F1iu-GsTrTjU`QK^<6U{bIhYbkAdOA$T_lZ(ZeG;2m#E$>3M~6Yjcxi)_S5gq4XYz^#~b zD*?YEMD2X3SyHotw^?<_X@a5V>8!m|c}deA8{xFnZw->_`VAn_RJWs^wi0hPlOHN4 zsj0p>_LbKsomfyG&Tit);;nH^o&YG9CC@UB*2mlOSjXEU!Kr!QpIl*uQ#$8Q__)BB zE#Mtx6YK5Wy%)3B?*xd`_Re0fLEAC9PG!R+E<$4o#aLy0^ohfnmP&sXFUI2O1?;$P zfVSl@c_#fdO_COOvepG4BJ7-*#i`Q^@w@0|SgE(XG6Z!5pl2ij6EX-^oa|yl6lK|g zyTEtHK-YHZNj*1pzL(Q^f57dx-tO%d3ktGoguoomAYck_%FFqdhasW8eK>yN(|}o7 z62|R%czb)D$LM)I6;V0CE*G2oK4!CiGq+BDawCm`NRNGh<2B1uFg8Yy!9*UTyJNp4 z=_NDiC9;a;^A*T>UoJ+kD!KBZBi*m6rb-DbY4I;9U^7jxkGGe7EhqcvfA`U_I5Y~U z8@1RnF#&Eu?DFinGgv&mh~c>*mY-Y3+^#tcW(LWpGXvYUPUE&)?!ZHjd^j5+${of2 zFC-@;YBBug629+0`2oD}^>2d!Onm?MI1I++WIMJGaOl1FV`{RGu462(oWX;SJe1D$ zRoSZ4Ur9NcD=XLb4@J{A9cYvm?9B=0#^K$h!U z!*AS=2sG(b9d8*+ULFk5Z>3F^RhM|j?-jgZ@J0*dOL-LMlN z!sz@eMyn$j7L2_K|4d!mPck6Ll6(zF1%w8K>38(8XZIdVUAqlOo;Vummq{8gBgZKj zgz_Xn>M46@M{QanZgEfcg)QESfXKN4WBJ^(VI|2JzU5EX0gLhAX}k1YcoCaySB5ye z5rTGcyzcy`NRu5k_Hf-Q-jP3tclLBM$UwG?AdUfR&&woJf`9oT1@(o3Ya!JTT6xUHNj0U0x`Bn#Lz{V8(_1cng-+v&uo|s_WrP ziMRJ5gfIcs5YRL|bZF7FExJyVZpV?i(Z->W)}3~YG6_OC?e5yaRneS(d(h>I&^J| zQJlogubl5EKR2Hsx$TzQv1iv@C?{r}v|>0~L6aw0rL24d;RQsT-Uz&HvX2A%@5h6` z^Wh3T>*Jj?hVvNR%L9ljcf=nTJxO^VOWBx6ZielwF*-;679UhjuVkU2*oyQuQkKONHO*yHgeoz*wXKQYQtUa`o6gDh-Ete+wnNi| zw<-gS(dr1l`$r$c!s$gUES<{Q1?Ep2$K0+xII#aSf!cKD2K~t?+;`U@?3~#d`wVtt>e?v`w$Ea5>C|gES!^T4=#in#kRaPG zM^~d3>lF^FFqLIrHYiK|Xo6+|klJiov|Apuw^DKPi;_i`@0#e?w&Jdls2t&L%Z=gO zJN3MG*}4FVgOx-%y}a#U6d8y@*+G_ZVg7|T{KjzQ;U3&)TMd0F~Zengz46*r+l3)N;=()3tbK@`vEvIJ_ljkQUTA znx*rpowc~~{pof>az)wvEwLx}JD}7E-)rJcNEmp@c@9#)h2zdc0)KhDEj~O}GRXl7 znetTES$CO$smm%I0>HqJ?AnXL_5oH`M*wp;pj@mmLzA#brL!e5$m}2lgso~4bj!pZ zb6A1lgXKEjB2Bw})c#Rh9-VAV7%kRT%j$S*Th=KrKR3j}(gJjec%5%qg_jM*f|LAn z&UOB@Qpc3HmFci^jKLckY~*L%$Zt9sWk>L5!$Las_o7x!bS8Xs@;%#jNj6e3=tL<_+N`4E5v+2n%R6+wOZ6;RN!kagOcjm*9 zwIToKTR{Yu+z*Cv)}W1BX~*NOZK!+*7M*+xI~@Hs_|2*C9F(K9{A|aC9dozca(h_G z(zfWj768SUF9g3A?3Nit(UvF%GT9yOpE|ve?1hclYS(aO8K<6E3~h8;u;cKSOqrz6 z_>|HcZ4*YB6Q`6_q=DfaL^!ZMlsU7qjIL|Zj^d&EaufiJO&`Pesnbs-yl=nt4gd&~ zB*`XfJJNs021i2VTThGX;&-v9gPGZI&Yr>2;mvx#hIbyL`*x7Vg8Wma@$#d!4Y^$r z+QT_yT^2jpZ{DWY?)$hirzhcUZtE&LZzJ?YMka=4f3j6+e-N?M=v@j^FCbozPOx>eZN_l@lxbusK#tICoAoo&BdIBAgj@gDezpUj z$jeuF6%NR7l4KW)_TMnV8{e=B0Qj3Pzs~gHWzEo~?VuR!7K2@T^4q7vl^-73(Abf;@`x%aCn59`D@ATZ!Zn z_S^W_4Ge9g7F;SRHHQRPS?l<$J@xSDWXt>0ef^|c&HVE4)E4{l>*1|h)JN{(;GQ!pk=8(>=u8vGuwT3Vr$5QHr)~!6(@K z=52G1iq?UyK45=FjI|_ol=w#0_fcHYZy`6Y?SnoY&xo`%u~d$?Ya{c8B}LXB=l&6{ zF2heK=xS3t_AEcvbK71b#mq$yqC=g*JMeAKD$MkhZ7Y8JtF`qx_h}_#d?3(qlYWz= z9^-5xY5n52?7Le*>g1#R5odU3F@?3O%!K~r6mGxu_TWbm&Z4u8tEUnDHX}fmkTAea z9P$CX_T7MB(=d;Kh$9$}(s0NH}fY*yNZx;kzm z6@l&O>4i4XmAkbVJ;+~dkJ{q0zl!aP1I_BUT(Tl$ zTHVyZa7zUuxBJvaHM{ z%*pn>>*w&ETkZ(@+QrPIW%POzX>0Z3(jtyNaTI3cS8=g_?dLE&BdvtOer_};s~;Vr z{3g8lwVX_No4#f>J&1Gs#~9t8Z=B1C(4!75i#~cArsE*UPhT?S`?D_zgX<-?w$bKA zALPfk#imR0>WOWxjwyTT7^!Q9&?!CoqY`wS=#|GZ`6r4ty_PC<87;$qh*MB6Nlpfg zi_s-U^Jn&Xxt8+dWX~mLlOi5F<2X>uk@GJXc5QkRuVlx3js)2OYQMw4NNy=1r{K-+ zgRb+a%29?0$?%9!NoLzMfG+CB*i4=PHgP1!n87G(jh4(@nj4{8BLyR@z^e}i;kGeo zf^GRG*MbH(vLDaR23v`AF}U2q9p3ncRowXIZv$Z5_@?jR#y5Yf;OoruTk!1jTX5zd zCh*1oa23uxKY_1&ZA)mCfu@%TuMYC`3u&TA=_kRPbynMOm4_VN7`&0)^-pE?TgH8pp1yB5HzzVx2Bc)KW-35ra(IL~yJ+;lKcx!^km@M-yTFt$i#mEy7!sad;bt z&DxIOJv{p?xZ`j@J?r$coX<2|85VeJbKYuMT9#LqJ>TH)7D=c-IkmLlY`SgJ`x-mu z$>|B8z95r$74J08ldx<%);X_tX_)8jIVYOscZN=yBx90IZY%YhVwGWw0U zO3bNdqNigtGC%FdO z2jPv^9kR!@K(dn_{M7*CPY3qm-H zPx+1wFk{Ee0E3xXESz45-oF?zkhmaqOsL{rkGriEl``eXvKC}qjBY7PSHwH$s7_kg z(mniJxyJy%bvt=nWc#BBK{*mBxZk)s4XyWgyz z%zfb6Ioa09K4jWLUZ5hY@3eGjr=<1Zzr$IN92?!SeSn3fQ+TOzvcb$O4!-x7Fukph zwvCeM!phkejILY7+2_yV*k|YQ*e8#wv|hxVOiJ5dJ>In#J@3;nZT|jK%*k$i)3@b<`Ip3uK$70tI>{6Bx+!8xtgGkMTdS6Dl4YeA}np*J;9~0 z`Yjx49)CB186lh_msuw##T;)5KJzUHK-jVt-iEjeW=7NNq1S70*=1YMb&MxZ9yc;q zyw`d{&IoU*J$~{y_UzgdCN(p@k34=jmvpRO$2>zfKL#UGXl-Z;GV9h5%4uz|EC@w;o_ZKWqqyeSZ>J|h+wTsOeZx6DE(qc|n{pETLCJtt1 z(*pu4z0L|X0wAlK0pN|>rto9`d=K8ZEu2;Q#itkJWRKb|qL_#?$2WM(@5=YW(xO9W z{^W7I^|~F{yL%4Q>%~b&W7CC>PUA9wlK*gg8<`@~cIdAA@iTY-^cr~UXftR!ymK2< z`ncS(S;?w@aO2MSVOdowzx$XiPCi%Y(9-@MnO`l<_OIx-Okz0uZ)mS~!1MqBAOJ~3 zK~zv~vX}r~mO00H>~HIq`VDtCe{fRz@LcxR3ZalkX^B<-f_I*i0fb)DOCQ12Uj;P{CVIUXyL1?hT0HtE zhjH|YBaQ~fMshN%C2F0<EvW`4bS!P>A$=ZkN(ls zDgV*a5oD4u^dX;v4KTrlthy!?bkDuxTR8N-uT^+_Fo$ zm^htn*+UoAqsQFOS(TCizL|L(#(QufdwqpZ- zabo&}+q>=5Ue!=Z3)(Tqtub2Dr=Vqul=ndp_vwvkkmd9nR?&Y$iyPnkElgiC!t@(f z@wPXA8+ZQ?*JAO@m*abRWE2A_C~lf;K*0o5tax@Dm%1{eXwpnJF92UV-Qwr} z^RHv?JHL&;`SO+co49K4sV`qS9&b=`avpCD9_@BKK$rIBm|J{nfn+IhO^)r^L%k~A zUe$BFt;_Yn62Y4l+h&P3rL7c}`tm7&;0?em*H&)xkjPG<5D?F2$@Rj>BQugIRi!BV zY>N$&Bh_W*ww~jgeScai{GcxY&~f2qWdfum5&b2<@<7<)Jzl?ayissc#hX3exy`V) zV{vH_PcNOqU}gY4E6GF=@{tvD0O(OXt0o0Cl=Rc;s;q+f$j2VUnU!H>WLoE_wp8kd zGw({gmsf^(@R1MW!2UyF8*SHxr{hh72Y>s40#}Q7ZnpurNuNg_Ka3qSJFxBAspQNF zVS?|-6Gyx*i+tQAFKdB8OI?&WdN8Gxplj*(x_A?rptiT1?qdnL0wj;u7noU?V;8DHxJ{(zn9T$qX z(zsE)QztN6%}L%;=B2Y1-rBCmTU${JWnZLHT2OLr_)Q_>@%EI)7CFe%;%#d;V6zh>`AH4}ND5cdpbW^wchrBCo~2;t4IhMw3Y_W#uF zxbxk&q3Jbfh|ue8!T0ySFHH=xTRm;`#H{cXz8BLZo?6MHpL`6n*Y8AsYhPD3rh6r{B67 z2+$ba+Rt3a{q{JOxYb4H5ezmdDU15;HMbU{=ay6u(U=6TPHf3;?@zVtNKmRZLFH(F z^C^nlhii)o12QYP3fwL{Cp)`x2G4)}dGsgySY1620GQf3Rp6bkVv%cZ_neA7>cHaC zDQ|Z{aXhLoyO^A;zqOCK-FtD{E&I~FhMJJebRC!o)N62RX%WBnTOY)kbNYs8m9m$+ z?<4o?I^yj1x|;vCqsX2cOi{1=D67Y8=}a#feI{m;OSU6gPy6EMWI8G}#wfMlYuKgB z$pBz@wuhg5-`4nYj9^omM*Wt=%G4k&*rKS0A}i}@^r#EN%&YJcyt-&}_2pv05Ojb} zgt8gOl>6d%#T#eNHaPspSL4tB&nxiK1+ng4q_|ZM~8^>`b4T_D}^O@(j;8S0?8Xr4;O&kxL6kVFD;Vr!8S2<;49h30HNC`1(J?rju?7`vP zpaWAAN^_+*_mnCNt5UWidf?59m%oky%T)t?>)ANGEkQnn(GsQkL2^!-1W9@O)Y)IY ztV+)Qo`M!JfJVR5$mSd|xZjmmp+Y9S>mJiNf6_pl$Kq{hOS4^T>O`J z#_M+&Lk*T!hPd~Ed$DKt9_)R`UNC@Te|-!`o;aF~uZTD0cw5P<|4C=V#Cjd+cH~?( z_ARnbw<>t6PFg<85kQt_!031IO+vfP`_+fC@ek$7GL;{6r6Ex8#l9rUvF!bk#T)GH zjQ8J05Y=lO-jq?A+h&6|1aCOJrL5zbpl{40q;VpQ7P{aP5^pO30+XIWC6?OyYtML& zx6+Z$2_r9eJ8siMwfHcn)K--Jmr;rNO9#Ji z->r89Ik4!pAvj{twV~gs$*Hk;TX`Y2_irBf4cz$F0Yb9@s(4TwS#nO1~E`+jca4Dz)B!<8ZK{ouVgxc?w#X9k!*IgeAPpTd3n4~3F! z{cd28fH>ii@h!{<-yP$&TleAEXO9{E)=TkLFCn8uC{${7g@mlyTFVxAiR$;Dr#v=B zw`0QMEw-gLX{6GW7~SY){E! z@jhHAQzk9#`jhor8_mg{9exErF#R8axkKBw=uh@>?|*#|ANe;A8M*tMtUuWg*~zKKk*G;?y%wXHr`` zCtE*8SD$EO=yCqr2+!H%@p$K`=i|x##A!!@(;=berOe63?0^FlyGxam{kQ-9H6Ws- zPiX}+8~-D!%SaP=GIw9tN7rv&pXU&{UM||*U7m$->TU@POvi4lZtJiM;XsCm%{!T0DW7`t% zmD=Jj%DxzOYa!kRT^B!zrx6JfsjS8!d9x@U>y%lGgCU0s`X5EG&f^&4Seqcpjd}_U zV!HyFCJClYa(qZZLcTJg2>7Ako8c4wBWn<~h5VasS(6?0zbP?^f26NF=bpphDkC zi~r$DQ4=+|#2~YLI~nqL)h9dG!aLq2sa-mZRErgFignh++l)OMFo;kYg15yu|7=^< zATSw2D+j205s(ZNlhDlODRxe`mDDnXRZfLDAm2s>@0^k{kSbS< zrTT2%qy#mq_D&6NfZS>-sbddcl^{CDTYp0~R@bt}0o^7FXnAFb!;e3zHQ76lketZT z*+};S`Bc~}Hf2h*QAowprI@+Vn(+1s*5cA)JP${m-)8Z)^bJ>rIP&kM_yVO;Wg7fDfz4`QK>11&!6|vn@Q!}C)M?dhUyC$wuHY^0DBe;KVPXL4 z^R)HY8~fh;gjNUU21K^RcH#%_qR@C z&+ffAe&U$XBb)RK-oWz8GESXdz~K6w#pq)&I8NTlTXXwc``EF47EeF3kb-qLnPBAj zo4@i9X0N{i1WE}?+je+i^*pwK(RN*2g-7U3^k5$?ge0efY_Vkk^Kms?zOtAQCi3q2 z;5`YusmVTuE6bSP+K&$*R8SUD*~VPu8)4;9f9o_3+;s>adE`S?{kDXdsU1ItT#R13 zybaPjrTt9Fz0>b8F`Lw6I9u#{^>v+}vj1lGd_Db6?bsMSaT(|d#k7AbKg51(c(0}3 zG^U*M-NtsvA9=ruok$ABjE}^|bFxqU)u(Xd4R1r+cHvA*0CPWlGpN^K@$@O2I{g%G z+C2v_Fgr7g!S%Dyt%>Xs*N;5%;nc3x=fv4X`@=X0Ut~p|7B}|D z3>Nv=5XBeZ0gHckdFm?pv55DJk&}6ja%Q<6qZ7=z-+@kz(RHLJdk&}p%|`e5p~BHu zZ2p>9-)U{+GOXv53BgAey)eiLOrJiJDtuN0?tYoz*G*sW$+*?)$mEUs5ZQr|S)sP$wS|^S{0(4}RPG_8xR^vly%vij;B!jGH2Ktjz7+fFD zRh$3pyyMme5xE@fJ=>~BA(mlTqMx2WXdS0wS-ohKIV&n{`hJnEh|zw6Z75e?D8(j( zAhAESV7J5@fP1@@CMky2>~k7*q`gZ(lJ}OkWGS<6hZI>6{NgGB5~-qMfY4Zu86(@0BvMZ!C~*pw?N32%R7*sacwvCbEUH-I>qmM7(H}vJRTeoh zK|aj}@V52Ye2a_}Zo`g60?fD+ysK3yt~|-`KJ?xXgwGA3K@VNm0d1Q+on=_mU9`ms z1yMq}Q@TUC1ySkl?iz+>=u(gtX@(F<=^Se4l9rBvp*x3e?!5PT?)^BQ|8vgSd+oJ; zJIsq-@I^BbOD!3IRA@v{MJ@M2YrbU;LT?SUKJ62_YICC9R(m1MLhz%8Ic@V`Ky@tg zi$umh0x40&SaKxXNm)jm00}ceWa4!o?ofkHBMi!Or14s^7|bkw{>3&LiZc5Kr=qj^?Se3e#_cUbWUSjP53JN8G85 z^gbthA*$F27``G?obU~%{%5vZe73ISeSSFHW2~r~oc>d3MlVjq6uwb-sb3pC!7}n?IIVjn- zrki%JrI6f`BLqHr1!-$eJsy&rx!}(3 zH0~bcFSW-b8`bzaVP|KwaZ~B5tE!ED;XumVyY3m}C18lc1P@_0L+Mt0bs!<1Yn~V% zipi&Fqrz3_er2itS|1IL@UYOVe@S_W%A1tkEKpIH0|8=v@QUStUl|RKe+t^mziIz- zf-Z>T?Yt6&8NAc;((d+VEG}m0Jl>6}VOwERS~`A!9G1mSxr83(^M0_`e!jd?izCwb z4P|ffyBVp0HIu0mH(C7>dXQ5!O?xqdWur6h^Gfs!D)+I^DjmdCXNpN5m=7w4_3h0! zm+V=!F?0vllZpS7owXaK%EKf#E_DsjuVF z!iBjR=FIu^vrU+vrFv)hK16UI;3^Q$s^ljejw!By*NQLdQ4xhRtvYbhwkQZ-e z32LuP#*-Fu-@1O~`nPdM7U{zsMFCG`aR+%Ud8Zs?NfC2zm9tKt&aQB#rHosh&Ps8? zH#Y49h=dXv`J5Al9t)+Lar}KwzPumwl^daAu4;K(g3M9Nv^)5@*~nqiISXd*s(d{v zm-t{9hd<75dCcoIDnvCO{Pw@&S^l&QBJ3UxAZYta^y_5?Zwoh6q$H0ws>$jerC61x zX>eQKXTi8Q=7qC$3jS16AP9Y*Y~;($51xM>g?cA35q$nBd4rM@@D8 z_bxB-e+L?VN+JW#-yCqqzT6h^KJ{33;v%DU7qbpa-ow=TH>=A`a73-q!%;d93w14- zB*zI))yjKjg&l3pf0w!+$A>RTgs<-w>LK|H`;8B9@@K=Ts@0x2%Pt1(#g%R)kG8BW zsX5?Q*~MCO3MDXkpsz0?rC06AXcvGanBhHLMV|UU-(641v1CtdzMqvZ&JdNKl24~Y zyYxdE?dwD?@>)$;nxCQni4I=uwJ;`s^aV-z3>?_vr(h?L=s=E%zYI!y%Y%-xva-3` zo&)xHb{8_!rf({W{wpV`;s8huKez=ZG^*BXygY$I=7sls08lIZx%kCAJy%uRplTk) zeIUT?`MzCO#=Ikbf=c|~(xqd7_)*^Vqnxh?_HzRVU?e#vnEYaYc6rA)0 z_o;SdFiWmJ03h@0z?f7psu+VW=EauJwLx;!npsu+dL6NW3!KyOg=z|PH+D+3vzs%# zJk%2B>*+v$ok=<$QD&gNRd{WdX)Z{Kja(k3IvCx^Zm#v}aTv(hBhcXWb>U!KZ}_qi zQnTbc@_5=f`MB0hL-KUYkJ5>hN&U6n?~=fPtK;pif>J`k6+N&>$I{r_%|8s?6T6W$ zFC!b1SrN0p#^Xn8V*x#)lZq(C7TQvGN;6~VbyUEqj~XZMXm7m!16)F=Ey9>j^G_Z$ zkdd)exV)c5QK;2Qi&xz%YyuXqOlO8z<5+`bO~P8bg}*wB!*X#Nhrb$vaxN1<1#SKs->Q*DYWRcF_xVT9u1_jyG*5&AE8GALV^C#FL|D{Gv z!-Xod@EQ8J3rKYtUmwg`BYTiLizRBUj~O$^{!xjy$e zc;%J1C;^*UVEI?%nHI4MToj2rmvu;f5HgJVff$HT747!rBw?)=s)_ycU=0z?A1Oag zCT?^rJ2P!FYx*&~c=3<)2f+~b3BT>|tqzUXkh^lO`iV(yvKi%xp#+PRN+4+A%+GDr z)}ywL!|t^1;uL8&8tZ!qW|+bcu2N#y`}eou?bBRkcRjh>Fj&TWrcK}O~|1mSr) zOfnKM=iIZu&z`BEhgKxr^5)UMiS#*|w5F zUm{1uLN0^%HFJKT`}yvH!K(T!&RhP;v&RZ9+ZD}mAG6jA3SkykgkB*3DBRy`$J!>N z9BCdPZ6@FmGoCy-^D+L;#xZT((S{d2{0pL`XU1Zo{6MxYh^636KUC_Q&wyHmC-N63 z+OSsBm|0yl;^nOJt+`4MNoS@qn(NMzN7DfV%gLb)%NF+q@<0nq`lgxvR|G#Vo!=lD zgVCj*!7B-C=Y&q{*%(0|H|e`#x6Q7CZM*;u&y5_XvtpO$?4_eNkFHmPmOLwc^`Gh3 zq&SMz4e3#kr3jgE<2%6kT0AmPi-x2m{KlnQ)aPb`I_mkVMks*?>nffs({_JuTv z40J1YW8@7vaZA6kf@i{he-aJ$)z`ZE3EW%ag%=IRbi>qJiKwJ%f=HsnhDx?7c z0_R}M#u9w;e+m8b`9jWweGvjO%4BUQj3wF-Vei<#-aZ|Z!$`O$yQT!zr6_d)RKm|7 z50E4=_yRfITPVP+ecKS|b|snOz)Suyi6w8tQzHA5rJgb%1s9!HGX zBtqsXp_njCbrXMr>$gG@MOSV%-LkD4(mkhNM1YRR76xZmNy-r)i?}Xx*+pG4bj3 z?j{ju_%JN1fTa9!BKexgnprI;YhYm~f_#}hjspHsRR}51_w>A}J?=sVa>I|%FB-4- z=B2=yDs2LEgg^GbPU|v(J&uU34qLE3<%+ED137Jn5xNeG6qH>s;8D>K%bHUgnF(#W zZGsQ>Q$u>iwh0ukajtLMI<--yZ`S~PkP>v{hu~h0Fz(5VoiOtfHQ?>7>?=4Dl85&S zCg!%)O>pQ4Bx^nyvHt{Ev)OJ44OZi+(-|H0vrxJ~2-hZ&tu#!}-`i ze=J7^LAP%Xf-m2|4;(%(zu#1Nu?;>FM-o44B(%#YiGmX!dL})xO`H8!$I#sb(PdO5 zHp;9xb1A#e3@JDd-VS7xWVv)~SxcDMHYi;>fcZS93jrB-aGr)24N1m7N3a|ix}s_D zfvP*C(~iS-THP_bV@Zk(4Q|iBWqejH z2#5;JSX>;Ko|QtX;JCmLq$YyC1nO~addGp4RDrT7(Sqa@;4j@KHFja_OzH+H&~@%{ zazB@Z52)@cqQ6+3oH@XkJQuVZg`^W7iBea5z$)qtj2-e(DZnw zX%jZ}r;)x2U+K*DAoYeXaopA#IX@qfn&yrT*XiK^NnOeLGCxwL2(g1$eD+W5n-!fz z@%LgP>b#%;18IeVs!Rb}6ugyZ-Xi8=V>4{?_Y}kzJ+41kB29F;@vH(-Odb%^8E$66 zO(7{!$^UW!>Gs1>t;+LAa;5&C3qZD$m#~bs|5@o;ImGgQJ8m^w?DAUwE~OSm=n+e_y_qzkYiVqK2V}wzg9OkI*1~F0BP@v}6>D{7*GknYd{&hLlhBE$| zer%+?k$}8FIOT4}e?y zrd)%=RaY#OH4`4XX$i}Dv(X&JSYIWM^iLb7+r8Ou{ojG+d^u<1&R~DwoTJi&ri{j9 zx#b;`0}m7JdExu#wI-y)EJn8n9bKuy?XeTW-n`yh*Q>pI8k|)ZHPFd{sa~W}+e%cu z&C3~W!)jwU-b;&@|0(5{PjF$vr*>Dmm#1Jd9JNDxE|8Ig1nHhZFr3DI|5P(Ke=F)f zJGn#g$wf2iypCd&*Gj_~9XKaFY&R`rIoByZcN9Dkz*SfnG4JQ3OCG=$==77aP4)24 zX!569r&$&!Lp{5VBn|#jefB)t+nt|d0^jEpK8vWvU8r{fsE2JsxP$ci+7(!Emc7>XDI&zlxi?LIX31^(T+JwSE%iC6iN?&QhO3Br= z4%p%m`*K~E(F8ZbvCscA516T*+`dvUkO{)u z5$rULm?&=;r0t94%Y4A012#V>z$0QEa=-)whU!eF;ohQz1?5wJp)AEExH$p8OToHY*pK zo^@)4u_(OHg#-V6I2^C0+&X`|3dCO|* z?zTa7?04!y`k9r5vmAGBC89tc=@_X;2(#|5MwiSeG_GW|!$OpO{`4u><^SV)e&1?k zPtPAHY2pBnBPC7~lb9tV2o^>Z8UGmCTuZ{GTm$AAIYnepOBaK89Dx^lclx~y+qvoE4QjDosJy9a*KZu!yfri8 zb-`Q^NsIy54J@H=O^j-u436>|%r&?Dr1=KEAFdCZ)}9U%E?D_y+M+LIkkNhj!$h&l zeVx@l(si5{+L0Yl%h+jgVPqsQM*mVUZ|o{~E6usuz-h!YE-!2f+~Ihr;f$TE4u4Dt zPT_^PfFokWcmj+K)X#+B&TN$?Y+oFTh<;v`Gkx^j>Xv>i1U_Wrt}eW<@g?rKYnO7# z5p83Jqfk^2f;bGcbFz8h)(UppT`$fR5b=%9+x?q>U$gHa0A^m7j@v8e8x+3JERh1a z5`p}05^8sDjrMDab#%Fay{{lU6!Td}#jo$&XUNFLR+`7lG2%;)y@|QQrYP)Gps@QQ zkpI@&S^b)cgo$|H^^8Nz>k4fCWROt<;|_LzRTjFK=~lfifOW+-LTJT3KXRIkI==Bj z(ouI?ZIw&jI0RAEVl%ze5YFM*=`1pj9X7PQZMN`JE%NeUbQ& zZ)UgcKri-u-Yld0AHl#K%#(m#Z7_*n(A9emw|_fFpka?b@X%PsS+c+*``{&lh5`Tl zI>O4Cy;Sxny6dt(8T@t5&rSnhF$V#f<9h#ZaS@R!gob^vKRPHc z2*u04Nx_BIBSvSP^A1#u-kqhNdx2VIlv?@%|5rrM2 zm(J4)W~QQ{NyYaVRhq%Vm!C_~)>k>;j&&)Ij;ks(z~Zzso}Q$nitTF)sl-BsD4#mu z!N&5eylMW^c^51QShrx!u!Y9u*OENO*H>eSfgQ62Q(noDBKIvyq^eviOsTDT=J|J2 z-=oEL@r`T?HNqRCM5VbTk4&jrp{_y>hvvD+q)2Va^iw@RB=_Zy@!mmdfQ!Lz2|6tk zzy*@jEBWJ0>M~}fvq3M&KR3{adoML(iVh_=X9XK&kIq^rf&>`j52L>i=@W0q=Y-q$ zz_v-CaaadL9_%X=ejkG~trqM5QqvrJz}<$k5hM6JpLa)Of^8Ps23Uz}NPn3kE26*K z4M$_7pLjPFs=Vv;9Ay4I>E~A^V^z`+d8%P@!ydMjF)iMK2Hq2$F!ln(tv_O=W4DoI z!N&#ho5{6TXjjP+*Ds`?@8_zqOJ zfMu5wxelR$M>`CsI-ghWDD|vt8&KxdaC^7ZkJR8pw;m)YNlMoAD5I%r-u)u~e3pCu zHKGd~xyIE{724SS&-$V^cgs2&4@i+=bLTt|WnC+{VB~m2=sxO3QC-r<;yw=E6$-Y9 zO^CCy9Z*g_8q_MNZ%g)=F|Cw`#c0gMrX8eB?8VBA{YZ+Hw}$gFS(_3)pxBypEW(6{ z8#^m6z#taUNwYnxwSzaiCX#XWxq|1v%~fBhzamphGfH4)pMRW z>J2^vZVQYKf?^1I{kKx|{_S~AmNx2NXo}&D%i8_i2da(k0os}*MPBYcWaP24o!@@_ z8JYrVUt!iC>O9O5J$(MUqaW^4(PSn@&(L1k@((li z=ZRI*ZD-+H5);BjTf3ufMd{V4no62N33=L+!ljn_ew%;JnVb$5P{Kc)L$;cWJn+_; zn94Bh@7fAwD?SvD+S7Yuvfr=2N)~#xoo4iv&=}X|aO9LVC3)GWYMYu~jCE`B!1?Uw ztJrSW)5)bM0e+iZmi{UzkbEu(Y-Z{vwYNMcnZWXV76;m|S~0f`K18XV_l2Uq!36XD zqoQU|Mu{Qj&u>^eYP3fWYW=En$A9+I>Kza1pJZ|D4?y?V(uk{rwhcpTV^ag6*YzqT zy|w;&m(@PwRLl_7)xQ(I4^KOI)=!(io$Zo&r_H@1V$HAbZ1cR&A(NJfzOT83rc&oY^D(_NvV=rNPO_&n1g&{pTVC zJx6zFMKkjS&n9(56c-e!NaTZ)tJEYhRN6Lgkn#2ghj$>t&CL?8Qw%%1w#^g$h(xjq zkg$!Pb1Lls6PzhH=7_Ie;N^;bJVDRYaK;Cz_n&P);pAf*E43H3RqH-CZ?TErmrV4~ zKj0{|3r?rDKFc*0hmjGXeRx$;rpS`2??oxw{GsV5IhnRjJ|BG*`#XuJUWrt_I}u+$ zau4BSIiF#9wK#nt*!USFJ#4U^=ZfL^t>bC zzVZpM$;93;!C^4K+hWAb+W??Y+Ov;dko0&OsU~8)A2fm|aP+q}-3Bkj*ddFu1g7r; zr>Kguq2xL`;i#TupoigeR|AUDqzDP-!!`?C!GVelde66Fd_a>K(YGwMk@&zj#NTrI z0{V^Z-`@AXxPV+%JMCa2TNz;E#5o8!w}$XfN-H1LIb-`NLAsznbT_GBr=?o}92935 zFYGb2l#BmP#cFNgkiR2@EXp2r77mSY%s428)-g#f}(MV8aHK?et~UByjR1Q??zNi-yZ@ufc=<3`{C)*VrG9mmWLo3xrbmo z-BT;+Cz^-z@khe6ogrGXF2qhXJ(L9!8!qZn*Y_40cm{%*Wr7;NIGr=<4fvuN?U|o) z_q#!Kj)oZifK_s8Kg=;pzOW(-){=d}?(7M!n+E4xUs4-cYB%njBsII#PF`x>=`ziH zV@0c-WvOfYU1d|3Vz}Ia60e0~FGdq1w!mt1*&!yTH{g@WpuOct+nk=(D*txb zIi)XJONOnnW_Q!XpsR}#e<7-qtIdIw^=t#~xEccBcZOKYa?Y|yhc5_L-<(jWfUVe z=h}bT)$#k_XQb$V$lN?^faU#Bk-A;LcJkz(&vH*Z_)%f~%AgC(?*0ct^{kX{pn#@- zo)l-f6X0URJv@qZPldY1wLaR_9L&BS{TmO;h!UNPL*f|sG~y< zr2#jR(EP@9$2;lPDWyE#&LLFHfCgyyFR$(Hig-0B_EyG&BFkOms=7jb(qxvuHcHm5 zpT|zn3Lf9B&<1f>;GLr}-1s$-r3{(mMW56W>_xIa-6kf8?|d z7{Gq_>qw%p_EYn+Q;ZkS6o4{r)ZD@RRvcH(iwq1JzOJQKfmT3A{uR5Kss_|EE&SG? zG`;B3YKW3|@g3A9VZDlsy#@VxFg!E(bpwKa~nA){Zz5kHbQlDEu z9!f_5u}x`1EYCl|q+_E=(hd6socn~)U%v>j85fMXl`{R!4diQ?|GZ>$odGo`W*k7*2csDE&40a zl{M}2F73*j;?+VjIZXz-ufHA{&G#~x(A6FQWbni^M_x3)B(3z!Sj^*Lu6ks-y8AU` zM)sSmPUwMHyib?>T{e25CcE`&v7emtr_uB_3zARqV|JoiL)It1ZXB>Ds275216CE% z$tiDH);d0nZ&S?A9Kj=Z5DII)Ghcn>BUl!OJb?LWs>Tj=DR%{bTIKpLE&FwNPTkYyWIL+<%lhWJ@N7do#jmHXXKM6p6@Y_cR&uE|}JtwPMP});v@r?HL z@Q+l^-5pwv)K&5u=>3dX(6rxj$wP&agNhk{ke_eV@^AJlpD)4u4Pr)g2mEu7d3Oo} zvRXV}_GT4;cdLG;r7Al|Nj5|F#bdK9TE61i0;Y=ZBl+hs|h!>@C=Ai7dTZ?Ysw`05av1tya)T|*`M`_ep!c-zq;*$h*Z9butt#`Z^$x*hYB=K zR_~P=Snqy)RCsQ|`Ja%3##jE>!JTsX@J7I=uOgJCPU|LQiA%@!DJy;IZ!mxggeRJA zLrZ#qAT}*uD?7Q9s&~>68x;|@xFOc;_V?ux8`jwRExryDBtMKWfus+XNmJuV;!ur$ zE0ZA)K){`LWGQv-SO9ywFakGBn3a^($PGHEwFFs{47`46e$vXB?ZhlFUoK!}g zN5U(K@HD=+Q@IZd8;<&~8&fcH*5ni)w==g4^$APrQ$x`l5fIrNZ20V83UeCLfm={>L={aVUXkK#mEx)D~(Zbwen@C{ugXG(9E zG`*3LRdZ){UrUDD+o5rx9*>J(5~dZkC>m{L$k`%-_%5kH-+ADjea0|z|BchWj!|U7 zRo}6P@}4v}OLKRJ^YTl3W0Vf%oxGi}yGKCWCttXk8Yv}$oLU+T zb*s^0ODolNh-JPahBpwX0^+vSUga@z2{fFp^%bWe1IKd;#lMSj6P0|ZW}jW5A6JN) zO5>zn0O!^l7T=Ms4+@vHP4ba$mk|G2Rsa$W?Hnfo{wk;e7k(9BUnqq_=RPaW|23$z zWzCg@584Ja1oCr)s<51`)FB3;TMDB38G8SKow@)ei$~5LE%I=lZ{Om8imKv~OtPr- z-zp#QQ!fO>9DmwVZ`u8s8?7#!tQV@2pdpI8ju3GvRlZ-|>pW|G_=v0Oj2jy@T6R<1 zae-s3NU25$#=IHmxGA?9BRJttiN^^)JNk(OJqNw_oqNkXTF$z(JjL75nvUu+(9+eqkLNM99XA6E6-v(C35Lrh}h3W znj;%SDV(>2pNDLcR%QW4Yg*_hlk<*U;>jTJ2P}j;LyV7rJ^MqpRPl<^)0q3rs>v{W zGa9f48nG`CF3kG^s2enTN&LXIS46q}kNTj0#`DVwQh%BFVeb6vM!GM=BnvQ$(3%t6 zZyAg8*hqP$=bSAAG{Una#h4bUsf}%=ZFxh4W-97|!P9=>&iSs*XfD=~w^LC1hD6zf zc!l~N&b7~2K*K|0)cY`T`?cb?JiU3rlOCT&xtxL9L9taj2@s!73k1mF9dY1mwz{AiF+#>#8 z()qTqS#QRS4rxgYwWi^H5TV%K@3;Vbto2l5w-7&=UwY=@UatvFEcJPQ^)(Rx9u9NjNy#q;R}ZY`-V#zvFUQNcuq56J4Q)2owDua0{aRgUr- zAP({6@PidKjPC3u1rGQmn4ez>pQL-kQ$>j)PP26lq|J?` zI2_U{yKmx|fCZzUc_2+nq`e~Al)1`3a@30w!0 zSb-ZY8%n$6UuB~dHIaYKK>Q`8={g&#eLdFw*8akO)T<+2i82tl0rVZGko}NP=lQL& z$SJ?<1*$fNh^jLnw6HmPo@C^8E-OXW0>hla_~E?1BkwfonYLprYyR86x{8a(%r8A6 zmb9Im7mO#Ljk8&^G2lfQ+{9TaGd6oR?0-&j^$8O)+))f*>j+4ExJXOr{>VyUDBG>b zT(QW4oum7Z(k#K}@k4&1WRO34=cFgs>l9MX`H@=^eg3g8xJ7xA!;c$ zSF&J214T$-QC5AEbu<{j)4dYr$7|#_lPGL8_19x~<5aL7Qrp}5oPtaZrvf)bzkHlNe&%JqtY?qPWC`7dwz4-?^N>i!kD(b>_$`vvEd+@75 zBh=8yr#{&{^>7y&f+HWxeJXP!G03isK`Cr)lm>|LpD^(Qjm{tvo$j?cgSHMePs!&@ zl`!VKDTk}pN{>#aA&Sk_{DR}HW#vciIk!_Ai7U zg|g`9AQRvR9C^En`i1&Y1xU9h&*RVF)6`AF&{sS?e=i3RygeyvqOj}cBVpKjMD~v1 z5sVr5iVG_%ybERWwRlAy#zwCqty*9pKHl>hD%H&cYQW~4G*x495sHDG^$Qe>6P!9j zy-**>g3x}FEaKROe84W~AlJeXR-Yu9(IHAt@Y^{5mtFOYv0aAXdAv{2AeuCD)+k0_ zW0V?L?;rmxb=ynekSF4i=T1`oy&z(H-9Srvo~keo_gD2qO?+HXVqmRu0ztUao>9`@ zK=uavepo6Da#6-uSWr>u_@qC0s<^?xiRYm{PT>1x*>$EgHMc9mpcocm)vSeD$ms zygm7mWG4ykwfqKj)vOV4_jk*Ms`Op9>j>z+ubF}KYu6dWF(V2m-247}4mtDNBtMmq ziHM^yXl8w%z&dAu_2uwVj;ONPa=0>+h9Rfd=|p(b^_ahvui(a37x_am@S#}3)*n`c z=p3L$`QVuuR-cRdHAD<0A63M6{{_u$x9nP}eYH%S0C~gE$Jqk=tAVq~;)-Cr3}cE+ zEbSdGN_oFZJ<~rdJJ=MQ^)H6%Xv2IQKzM!PmkS%CW9mavEM;psT=9aZx|t0R+*=Fe zR9aL>g0!U4x8(C8mgO3k%u#NQnD-d>4`<3xImUZd?eyG_|HlPb)0dcDR`dJZZviUH zW6B*EVE#u9dmU2!!^JZB0oChud~b>}ITAx;l+wD+g+UXF%B=koo~o(4?Ed7EJLDg- ztjY9f@ndCQmHmw~5|FIj<+>AByGZ8{S%cxcFbY=mIKYWhN}8{%ccfS#0Xld{;r&_r zE9BrH2TZ*tpKT(2`u)&KA(wjm0n_SqC#Acle8?GLNDJfvJM%ouc9TH+L|&v=!|32P z6^pi!jce3cVW0PCfDHi;A`s?6MYp#N)5m(%uaC}szhRuffbG;jbe2l+9nmIl9a?N= z8hQF-k|RBmCP@qdH7?bqrLR|Ri%$CC*GpgJ%s`A+8J21%Ps?hnISJ1sEmq6=EU2G% zM!e9%9s94GDn9~Y?{R6QR_)pT1eYfCS-k-r=xCv^w0-{9smFrim7He;Zx`3f6s=@< zxLj!_Wl2q=Oy<<$RVw%$_yh9fUNF^-DD>#|zmCt)mcbNoEetF6*<9F@FvpU!jK-hk!2Xrq=v?>S~i>56Q>UdJ<mTU15Vf7!eWfbt^Ln|4 z8|hNRMaq%C`AnVedtE9p(chwih1yWBC2qdVaRACF2;ur_b~fp9fephsj)jSqzU{v% zA^3e<1>SuMB-N=M8|aj-m_HCMv$jS{n_Cay9&yo2h1uEKh6LlTkIhd>g*H;?+#K{+ z?)v#DF-HWxo1z9t4@Q#Y!z_=q`EKfI<;GBiurY)U(pI{Dg6*2(N5WY*4F#58(C8Q9sf`3J?q^N@w~Cv42fg2vjQdMrR<|nemJ}F zV^6^-zp?^AIdFI9$IwGOu#ZT1=N{zsBC^(oitylHEIe$>PLwF&u7ZxHl)d=jhMA^k zwL1)|57dYv>k&|~j1ofIqfM~mI~5<2y`E`<(_1zai`^E>Q=-6|4d4sEbH1my-5_be$+ZC^fsEb z_Isz0&A_UfU#<>A?=8!$ju>|D7U)ay)&zd|EwJg3|1fC4+`#}uIt*g*{kq~0VBh5(D+e?iddEg zjxN3!Dp)}%ud=i=$aG{m1>NEX0d{(_4ca8BGW$fZt2k)A|GbJ2red zybfQ@x*gr}B}hOXW40E!6ekoCdNs(|@)ypM*AIMU92UX|rDoKiTvkB|skalr#)Qlrzxu6@mcnQ7) zL=AUm=Op6W2!>bQJHRlO+qa|cnqpo-(QLrX@sw8oQ0NN=j{IwE$XX-DYjZ)P?hOl1c6y)jOV+h>Z`f$=wD=uzv}2$%rmPk=Qz~BN1f% zkY_tI^kK+F>k84F-K9=;;Umc9E@|DDh(@78Yh?IfHvC-x&+SSB=6*in06o$~ISFHI zu7R}rhV2*#J1JwgH<7Q)w#`XV|~OQ13g3qC(;uKC@RrgrVN zJAwY=+;{V>V@UPmzC*xq<1-!g5*LQ=2q7LI8bzDlKkdnH+))!7vYT=Rm+89H2QFTqu|l-afYL zxw|D6CcJZ3uQK_8BTeKok^sLiD$&~rU3rn+%ZQMB@_o>W%9ZQ$z;Wd6O9E)rTh@>= zR9!QJkAfw&dBkx%vw4|!GNHUuvwfH1J{xz`C!Q~?wOI0djcojo)3L_ofKPB-#qT>4 z$nlC09GTV#kK0(z;S2(R#BTxpc^Fo+{jK2??mz1A##rIHk)NfLJK9jqTtqdXDHIUg zNMW|N@=7*X()|dp2&kR))+o%HpBoH1IN4Vwzb;4arz{Mc%-y2@$vNU_LVE%U0%f?M zp{2UWJn1a1Fps~3Cknq1PX|QI+VU>{itF?BQ+@l|2ph_E^LsElwH{y-4m!xk)(RdfJ$goO>3nuhp%|e%@Tf`gR zT`%v|HZc@P)giaL%i=OzK~(Y^E9~qmUQN?osBsMdI=r&+W?7Rp>iq3HG)Yfxq>2zRUW~e;&X5r3mu{%4LRt zzGPi=OXUpt`XZ4^Q8q&H)!YfI;<`ad6kGV;{`xbs(_@!C%enZB{)MsB#tJGgE_0%X zK-B?8$d3ZwXQE;`1Fq=FioUJY1^(4!kr;GH%6iC=dM`~uBs}O1?eS%VTFh=@>ZXKR_MekhcV^J`?_`PoQ6|f z8of*a(MbgBIN-<1Dfyj4Unjejj!DpiE`iU`CnZLNSULPd%4bcJz6UXAQ z(T%ui2P(5C5=r{xzn3LTd<0A8E(@gLHM6|NknV>|dMu`Sbiyc|+p)qLaR}I#J+H>n zgsD!<5o3b+4cK2MjvRWxODAzsO=GU;PHQ+=Z&Sv%MxAfw>%?IEa-%kXcqkd2)++|j zkfku#H;Txw`Td%>pusp8kpA6s=1^us7>Ogqx0O^WmGPk8Ds{0 zp%a}qg~xM|O~>k)8uVS(dJ)O^C_&l0R{XC+_0jw~Kfl`b6kBakeSF1-(sZ6(#2xc$ zazFPQS%C6@inbT^c+y7G>!XqV45HPTN0*U74FnUOt-0kvQ@r$fCG^U=g(yGNr<_Zi z@ap#1XT;pH99*{NiKd{S&`EVq?Lhty3pG1JIiX=@PrqsjDD+sl!QLfMW;a<#A0}** z{c~KNv#F?lVuP&u!NjvZFV2SO;G~5=06?9yw|z)@V2~^b>m+xViV|;hD^4 zy$>?AHbF-=(wNf0bUP+U&F0(2o40ckp05azWAGI2L<6a|a`(6mZgQ;mkcOI3=AW~@ zZ+aD>MsMpu#aN-Xv@vcr&YCq7Mzjoz5EVpFJ)I z6?LZ1x(hw=lgd@oxC)qvR#c@7-iSMBmD6l>uWxzWKR<9oP~?@IMOw4_=oJZrjBE2L zq3Of48I#5Q=RM1?HBlcSK`S-?fOL*ZM zbElU-NRK)vK6nfsRcJQ9DBjn7!uX(ZZ3uF@hkarqhXRISr(^Z*pnLGoxgH~XAWkR2 z$t21WD;%C#!~Y}uu>jPwh45lo)WYE2Nv^d6&c{&5?0Pc#WXjrU>%?{K)4gn+^m}EX zG50yPa3cnR##BIQQzn~bt@t4hwQa$>Ae;+4;I`X7z79`VPLR6}BAM%W^wSQf&}mtN zR9?K7L|Y$Ccli0+=hFM4GRLJ}*4}mZv}cbce}&9#h8iVNWD*#1>eNwBOK8->74bVS zcTc?VY^(OWSjzLJ9;rsWg7_2c6n>x6W{@+NqAYLUQC2!sR<*%iA@ZBFy*=dVj}&Xw z3)PM3D@q4>-Vjd%g;!z1>s?@oj+y2NU=&F zUFPT3-;`7yM>FORDQLfM)qjs@Ph5H5s$M?5hIm$=-QoTC^s@T%{RwYjnTq*YK|kne z*84ALc4SF2QmraSO#vs@Il!5!ZII^pb{-wst|KD!YjiMmQXms}1OE9^2Fbwxq3JA` z;%d4rj6-mD2$JAVa3=wRYalSV1|8frxVr^+cNt`G3m)7DcXx+7?^kvIz|>TqbGmo0 z{j9Y^@&}lV<~Dg^gdIL%qM4&>B9|VKLc2x*2`Blvjj%F8A|uYpkG6L>>F=jNQLS*k zee}#QaA&k#wyFTvpA%Kz8toO6QJX%p;PFAz)72)M8%a)rjTHA$ul=J$vlGQU-B}Mt zz14nOnEe{r=gvLv`c2-ptICw0%cE+@;lj<1Vat!#HZuY_;e44NgI-PQgW$H(iBw_c z|79>(Ypf2hph}g+F-rtTq{4r;KDHRf8KXA!V5AMtpS}=ZVm7zJ<|n%qu(ta6)uP`? zJIZeP&V#YT)6)2w$@kR9=cQoM1@^!uo7isNUhgBYCgv-|le&x4Y_@v^3ynfdN~9Iv zrIjS;m2W-fk2L}+SFZh4tJ&)Hq1QE;dZM~GmGf33@h59S9RzaE8!B_x3B%)`L@qzz zVNvn|hdOVhSjY{gui+O#V~*7-ve5#5no&i;q}!&YqajEsIisvsgYFgrIaH?F;tZ2z zb*Duy?6M@R?y1JpcU3IxcC@Ns?T>@=YIyR8(oSBAV@77$eVq140%n3Kwul-ZmYrnV z0Br}KklT!N%kj*8T87oO6 z??E9r68p0xebj&N(Y0RhN%rvq@$TgmQ>jbBhx=? zL#)*>VJIJ8$72n><%ysjOAfC-7Ax#>*aB``af;kNgjpWJ!+KQd)n?whqR4p6ymFyZ z*ja*tM7!c9x!8oy1`9lG0D2C$ZRCron)i=L@N*`w$u0FE*t`1TtAj+3SvZ0YqvbX| z$5FV=HY7vsz9;k-hmBRP#-sdnQ1Kq-W%=C~St(O@FwpOUqq?IwH82480s%)5;pOt( z2Z3IRb=#|JC6-tp{yaU4J8e-gpeHxx^$g+fXA;#hY270^yUMT!c1nYO8NX}Vv?YN+ zs3@l=1JH~sTQ_~8@b3Bwqc5Od)Bv}wxeHQK+CJPy)7Io@)Dar|V-l+)%IwE|gYEY{ z-Foq$I-6!uW9w9nvMohkAWKzYLcdPf>ajH`&ykYrYiMEWq4nnJXoGIqyUf_v6k7CF z0aeE&)+Wp{QA zjdBN&EuB;S8dzC8SSEEnt#^%A(PEbiUmrNbZU4K;>M3;iy%k$dOQ}q8SvoxOG;uea zTPv;#x@5&8K6Dn(b^qm}XBL_V z#r@W>AknR4`~TLs;z`Ld;^O1|y(nJ_DaX?vV%4w*t}6Jid1bB1lnU}(Xj{7fI@^Yn zsMSPq5v}+nA(ir*IyHN+729Nd8Cm-o4+&%a8gs~9HdWD*OhH% zOHlw6)#Keqe5g%}E;75aRn<5}Le0LvXKX=+ET)$TgRpcKv*cfbtdRZ>)f_okYGvEDizGMz@LbLYJ+bWQ9V zq9<#q3;4t*`pv^BfUkrTZbZo}!kZNT#y|*CX(Z2Z*(?yUS!GfNJwYjHbuyyLx<`l} z=YJfNTNL!R!%f{qzv6&L4NG}+cZAZetD1}IvJQ2Siqoz?i{gH>61wL#MJ>|)#|u{M zE;m9ZG0M3N;rqt|nKoWyD|A<9h7iJ5sma*ZD@JX$5<(n#e-%1mNQ)yAFd?KaY$+l_ zRLvQYrq9&w21U^zT)ZZJLr@y2!+~uoVtW1olK~qkkVy|A{>cbkMcaX~LU3!O!yWxw zs8yzp2Vp3tSQPXyHoqS;9{rhVPews9&R689XF>8gsM5+GE)U+H-?r~GsAZZ+);9na z6NXcgN&%ShpNvBo%lavb5x&v8EjD;N@;|-={pC}G!01cd@1K`@^El3>y@>{Xf~gJ< z>am5vz<5#Mnd@b*5=D!g^$QRnVBz5ojw+QU1t}k* zY5JAUs!)(V!K0m3J<({1|I}1Ge-A@*c^_UWo?j>oR8ZXSh~jz`)XK4W)DTV=k^9-u@J$z}VD-LyJ)DGWqw1c^1;@)L( zl`4Dwi)oP(E=v9t#L)GPY;IEa(O5g*>zgIC;&JzgI7UX`B|H-|*v5&O@U9JP$<2=44 z`Cgjl+;S%G8@@L_b&%?t4aUTm?a}O5{#$NRk-;e*GoDU*E*_BC&!#HkzwBHUa-Wp^ ze>_}f{gZ^=(->Oh;pLBUDJPMONWo4s6p%8PLL|-%HQNi#V<>#NLc`m@NhH;$uc%3F>*&Sh z!pO}A9eP>iv&TQ1W6~i%9K6}c4MuL~a-HR`Il$JcffsTBQi{=^FvjsT_t1611)eI0gYrpoM&)=Yq9LUyF??paUZ>1Age=^T)7O8- zBls!<`S@#0{YN=7yKMF9;qW++G$g;m>EPzp8_8I2%ng2Ex}5*oJ=`?aA4=|1=wRzE zkLgedowlcbVsnx5AxSAeAeq{91T6$pN>$V>R5Q`Ly0At?$mTutE@Oc>Py%BQR`A;a zf@Bt5v0xl$A64s(29Mckd7Fu4lc9?;Ee;Iuv-4VOOd7 z60)$5xFODYtZDu|R>4%MH!W<%sv0t7qEHf0^oa}&^SpnW-~9o`6Y4_XhmbOFQ?a@U z+CSXax!d5N_qx5h>AkEBe9rtC)6PiO97X>cXKFVEY=@gy!tcMnVEHaui;D_|b}(+L zk9If44Y6ZobL-a~JJ?_&8T~CBM4>-?w!%w6|K#rtF#vQ~a~#2TdrMEwA0ue+{G&GX zT=`N=w=HL7A|b9zE2f{vD^6W=DiAv_4SJzip?#qvojtHG#~B0W`^ked7?TI}d8IN~ zD;*FbKmrua zK6+6>xBxa-700L*H5vy|F~tM#6tlX|%jr{Mb3-CnzPQR10LPnV_nZplX7IF(-e-As z#hBOi3ssyCC`s{{P62<)Gw*0#C=`rFysQZW7tY#V_2NQ#a zYTiDnQyE+#zk(qFh4H6hEQG=KYA;_~I4HjQMbU)bB=|JhK~m%ikX$cf(~bzsFYDbI!J*>YT$XEeEF0Ce9e7mZ+kl zf(izjMH%EJ>caM9!nu1F_8O(>Ge8Bpr45d$wziOsFY`=*yz+;6l=OFRY~^>jzoF>Q zRW9WS!jQU|<=?y}AI!~^g&>-ijX`wX19z&YfkbC&(+??jOqn~%q%lElNZF!6*K&Axwk z|AdG*M&@SdQflzH<~N+pUCdMN69qHp$jZ5?Cug0)_ z_&N*$+lO&cyhNHA8wN<`3J`COLbeD|4afQn}5N0{sojouMest6U-qhTupEi1C+ zEQWR7&T@`SE*BFI6qSbVyC*{Qg`3krE3e~zX#Cu{3PX4$O8I_P0{X^AgG!a3q9s3M zstE#OQRtP1}{%c?O9PnbJ-1|OJvJ~5vM-g|gbvU`rZ}ta8pp+I&5R#GL|NBt4 z9{c)8}dWlG?mbl_&&b56XagDuDc|PMLFZFkS-VCgh zU?eD}$HRQ0xm>qf(h@1xd~mBy%KL#&%&HlH*+T|}OhsPDXo{=lK$=dvw%+SQ=R**H zA8$&JL&odO5D}-(ZR1F$MqHB~l=A=628+*|(L8=^KS0Lzpu1(O)$>8vV*y=Fyc+?& z@^fB_)Dl9~@ZOmB(M7ShM#zwmd2uBWU3G&QA7X9i(#0=I0-xqPO7hZkp6Pt+2GYP# zU@MAD3^BTl_PY;xS@C!@t%9{_vgJKV#HpwYfLdV+ZGKn(9c}d)8f&i|oY5}1M%jvg z!}&@j!$y9nH&joBXG}CY#rPq0ku&7>w6Z}f-Rz?JIiyo_c-cQIwp>j|HaSY*uR=H` z>M*&Dwk%wE_tk7Ge)scyp>>US86xlj25(iR z7;lKIR?U_3%eHN!C@0Fb%szDYm2n&8&lYX=AFv?gdSCSzr65*bNlGJuI)=Ji&hy&q zj|d_7W}=;DaQA*MzPeK#H2M)e-3|20|J9t(gDdySPB4|KMtXQOQ$){cAx~KMijT!_ z|GW*CS|u9R4IN3xZYWOsab;o|v~9am^jD6N61emSYM0xupLL%{dLmR;wc@Qs3@aAC z9}sv<##}3&HAbQvY^&CTo!T_7R>iAz_Qr`7_7wL@f6m);B$-VpaV*dqptiG?%hv-6 zT_YWg#gLdXqkeC$8gXhO{4kR!LjIf7o}ygUq1JlWvDH&E!N5y1J$Y8s2z8fL6PjRF z(!Gg6QF7-^SFukjy#5=6MSqD6ULkPB15SKlmWr$z$TBdw{){$AGQw&s_mCk@Y%l)T z{WzsX*fp<$TAXJm6N}8W$=rwbR}g=V{*tAE9;j`l|`A)5DXkdu3HYKGt)OnyM+?GOc`xI zCDvlBD+i9i2s=3-EP$iCDl=%16*_Mp$vhC1N_by}OgX-a0G`PpVBH$08_n6S?Sn+hjKuL9w>N8x4KL@db$rZR12y zc+8w(scyL_bez`Ho9Hx>^iX-CE1mDg;)broVSVUql*ZvaTbsq#m^wDN%0%zPS0)qx z&{?|sKQ;(iddMC*)yxV^!{2B4awKc ziwvj*4f&%8wjUR~%A=5PN^-O2yIh>)3FBeth|`s8vpq5O%7JE^-Ja}C$#Z1;Syo{hA0UN zH9}O3bGJ)3Q%!Nf7u;-1xXb=BQK%BJv2$n!<@tMi>w@99i^#G9mFY~%Z-${}Pk%45 zJ8>2PS2!qmQVcDhve@V~Q=nxRHPWX6*I{16lY8j4vU%KypMU!HAAU!c zzg^^P^q)Bed^$pOxnt15`M#G_$cbuGKY0pUW3`i(8{D@-N>o^Zmun)S$cAqlX3_$s zv%JJ!Kj?NrVWb!rs0k6d*4d^foI?py#m|gnRCz4Jbw~|ri#S=X8?6irlYU;qTFU~y z)aw|agMGa2ww~!bv3R&!V$Kfx7y0kjTCli1XEAB@PT#eKBv4WDuaG>0fCkEGNI( zMU;z)S| z9vUhWJ@#-uYpQ%Wiaz^6D+05&X!{0G3>|qB8f+42c^q;T{%1&9m8_MixK=&l!UU7f zA{@kxxp_(0p>pL0(Ko&rY;(`3$9uKyc#7GmLTdjWfg03p^)PCMC?7k>z~{blikNW3 z3(j+QBZs)=k0CRxIJXQePD?0eSc1XyRj)I|Q= z^m{rb3(Qo60jvkMyJ|yWi4S^az4`s^b^{p{BHlh$JUTMNQvoj#Mbnpf9-Yq=ejjIm zt-O%mIzw|>^%{Hz({Uq-pGiIDs!r79xH3^%?t9uDGmcUm5WbPLc$dcl#4c4&JfG3; zpnd-yf7Q@{6h+kumw!D6#W>)XE9SW5&ZU`3YYp;A*i&c0LqY&luD~yzS#sBGW?|CTovQqL!6MKAU zyW;T9zMhJOWeW3}>^sj0%d=;fISL<6?A}+b3f3s{g}0mh#Z!tc*?sS_9_4fX3gi2Z z^>N}s33zN|zONvfN<`hv9)u~0LagC(chIOS*`Y^XE+Brz14ar9%6gP*^uW}% zt8if?#6&Q=h!~(mla!;G5(W8*-G&!cPfN#kRAT>=6>VW{FZ3yM(_1gB9#bd!N8eX; z<0&_$6Az5X#+`}3^xMk9JR8a8Y#Z_>@SM9QeO=%K8cXsT>McU_3Q#iq~k z^f-6PCEEMW^D1x`CEkGwiOk;_Ps_d`%|9wfZ7rdlJQ${-Epw`Kcf_9k3%|Ib_oaO7 zb{#uKcx$Qf`v`Gt@#*}}tTk>ljv;&TKYv~$OS*oGJvR6uhQT$0{{+o1-rMvgBKHw)yf<3YA zI~iemPP^6C(My@c8s;a};jG_et*T#9U+7)QX6M%kP4wtB6Kc``G#^++#$<<%(yxTCGw7(uXuB{%z$KBg_`!d6Fnu zrK2cmUgx6THw$8`-CmmyxNlpBUES~eE_!Dmm0!YhIkrE;yNlQiycF4m&^!lYvr0D_ zb;3EgxFYDgIzMr2nY zDBDRc@I*W~)F$wAfw#>37})nr?>c4(-6)`(5GpwI!8FK5W=X%Q^Gmm%&?Vd;cJuEPEi^(o zz{a31TqI-s zp*72QiRAojoj$^6(?Qfr-=3uZaX4msppFlI)|7aqfI#UcVJh4}L@izo{z&~u9J98Fw zubtht=ryG{d_1s)^t@*M01k$e=W>41yt0a_p8P{ zItMJ6%$!q1KrczT<^2B4t?LkvvzX-m72?D1yl#;&lN4l1U~v!If6C2w)(16GL&Fgg zWtZ9oYEM}BFh>3saFMOLrZp0qxbUhB*O51uUbRxSFB9lI(=cpbgpK_s%sbk93F^SF7-i(L}XYW$z;Egc+jqH zu?{8>D~lRd_)Ni6;8z-sj2QSm$|ROD(L3)_67W~X@-1skr>U{HG9BAMq-U;#s?h`a z4BaU_(X9K_z6J2aZ6oydk^Z(Gar)gJNMhXc^K^(vp^Si#uee|6jSbfW6rum#ZhV1$7x)}O)wbzb{y zV-Swiz<&X7zdq@C$M1$WTNZM|VP@GxIHGFinJc{h)95UlUmyoThfy?BWGNvRNIXPb z#p6$#BgS9c``Hjxuia_R{va6AI0796K0pm$?*|5x+x^34*#6cv>Amyk6z~f!`j^kM zmjmEaVnb*ZDKwMGU});B4kiy%=)xIN5jLm{Y>P=XTzmZ|lFki$|M!-1DQjs)YvRHo z4mI({!~8`=(#|gtlSa-HNlhtP| zGs8bNp6oPDhUGf)dAC`JP6cJ4L45U5yE8rqNl`;yA~`4jd~ycn``tn*qf;9(`Z6jT z)+ASe!ak_~#f_D~3SaXW-eCBE-R%4)eK)B(@6Jc&dy>gvoQhlnoUV!`a7 zdA2S4Gyw{`%R4STPFCz+0xA8Sw(uUd9r$2cMPZ1dNN%E`;@kJ_ylyfEY5RI=$<4r( z>!(>Yrb}Mh-a{ZD^}PMPax!#T5E|qse|Q^T*>UX6)wr#NPuh9l4*lG@teo zWy9Q5;`Fg;-$95qK_V`UAw=FPj)SuZ^9#OB%tZqZ5-SpCy0*<8dX!KmuMa7ljHpE= z3xpK4oMjs?Bt7_h*JuxD7grHLAK-93c9^oCIz1o@`Y+beoG^3@uqv){Mf&u@p8!*$?Q+3u?Sj1}bS3sP7*1z=<{KMCnEB9U z<=VX~9)tSC?AmT$)0TOo%PKq<1$kpm6FZ1csE1`1Z)4oH%1odhu_t2T-vHWmhDo!Nh>5Ok8APbYy;I8CAk?~-qgxJuH@d1McdMYwbZ6wDs=l*0a zi6Tv~>PA%$wriK=x<;En z8kwxfeqjr)h_@#1A>s?_PcJsbU|Pc(e%~njf~(-}bI_c_OOfZYc)8dQ5KC2)#WgCJ`ka3+ zJB#v$0Lx|Wql&)>*LcCUWHta>JwhN^=vq~J`}Gjr2WYpoaVNaQo*f- zrWj<{Un@@z^7;pDCO~MoVFtbj>;IDIZWtZaK9j2f>5F2HCO3GQ&oD{Ooa5IuzKS}T z6O8e&dG(p`-vocTC#9g7)hF&3yh7!Ppi|5= z`zj8D_ixP;5$e0%oL3%!WnX7%=1QCUz*sb(1wu?E)Al zgS!gGi$R~4dErh4$r-eSQXQ$#cGXb%9#%j)yXIpGXNbwK zfv3>Se5N~IvNgiW?cpt3%~akS(A-MGWRit9*Ix9KY3lSbk3fzM3PksXRY1;%%XAR@ zQ#8G4Y2=H7#V`8#X}CW16~%pv)cjA;ypp_&yYUvo#R)i)<*=rRC1Xsb-RSWsvcrv> z6$JE-qcJ=|!|1Tg_S#cQcQKkL2n}Qk4iu?&{6^4#3;DD~uwbTs>a>*XdFL4-Ft-qA zk5Lb*5M*19cpT?|vYByBO7Ts;Q>FvEl zBSlZ&+le=$1svLz`PkQy(@A#k_hd)@^jrTI4n`P1nvmDF zs7VOv8)0Cz><81(^l!7}f0L8NrgNCa>7_Tv;S|`0=<`@COor|>v+Ew|a>)G>t5N^) zT9-Ga{EnK>-(HNas9N+^ipHv+4swiEM>Tk z7^cbHD+0Z*U9ULRT(@UEL@V*}-!JvnxyALAO7~HN&6Q^C^eZaR&3F&6CD#48DRi>mzdM9Ib0s)JtBuG7ov zgHl+5pD~Lqu4-&DJa?wx7rj;#4{`(p%B$DBw414`MN>{2vi?aNIKxC##C%>DKePkG?$!^`%ZldSn7=F=gU3`fq_8ib6sRx%zvykx03@cbYgON4% zFrr0-$8jmV@}KIWE4}Ota)x%1VPO_KoV3~)MUjSWyNarJlg(D0k0-Yd-!HxhX5k3G z_=!Zp=lJo*mM%dn#|~Seu-|xEnQwTllVijh@UxXG_*MN_->72Tfutl7^g;X)xB$e2 z@HET6cS`;V(b*4L=I0CF)4QST3DqQw%6nOuD*@qQr^jfs8l73-(P@@Cs6j-0!D{r3ad2Y`6$ z6=1wSYFl%Dx!mKqkPdKbk7hds6-*tU5C;N}E<%d6@qpF@#h1M_7G!I@CcSqhHUWQs z$x{?|hB#xID9lUKGoi8iz|82I6r|2e4zJq@lVywp44yn%lwBD+D5op-6U#(yjvh>B zFNS%|3qb0dx-+fFmuV}((uDuY>np$dZ>bZaNIN8sYVmJy1U1%Uetu5){g;U--X-IQ zpSfM&k5c)7C0RoP2x=q!Tf5)GwC3`HSiYxmk? zXOA$|>zsiAKRYqI=hjz#_j3zq>;U0wvKf??gn`xpKKu?xLCqj@n>8?jy2o7dl^MW) z+&6+bYxz-V2Ho>-!>gi4t;SS@#WO}LCD4`|Jk^KjS3)Ag^HSyxi1Y!yPtghe+)<=uq^C$oBv67daaxp^FUke&r1M$^ZCyB^uQ7qk4|*BC zi5O@*G;M`D63S$e?ic(zH%pNPa5p&78Gj9mkH>`Egz#Ix z2v=J|Wit22qSV?p!LTbv0!F}dVcGh6R<|4YP?4B~#34{0$RBHigi(Qf&5WP#Ah zpr^Y>25XNXDgdSAeb1E5?mYTAA07`*Iza*S>g)Qb{c;lOC#V^f)F;B zPaql^*ie*ae8QJubUV9{-TN%|!u_9Gr1FDD-}lihQ=s6LZ2$(R)^g_i$^8Keb{tW_ zoNV#=`#;~lTSYRxT@TBSG}T%*zad=ko6Yh;uM^jF6}RU6p|8cH&xnQ1o9)czvc=^3 z-!9O-&S-;2mF|?VEKpVUs~tG@s>8UlE5*CM3L0bAVN2Qoy{Qsx17l#_P1<$e?~X6U zUjN<>4<6>4_oh4Vou{0yMP%HaMBd_yF1@#VlMA_?NT>U5Am7l<@q$?q=lfQc4|rwT zJIvQ(TW<`$h;Fl7POw^tIcii?&e23BItCA|SesfG$TL@t)4f}>|G59NFczB76@1N> z`QI0xGIP8{Z@rIW-Ox0*BKbT2vqkE}tAa3h7R`{{mq5=XA^i7dH{9>Yi~F`hke|0^ zpMot?mOQx^-j7fNRNo#k{8sRC{p*-##6bBYnhvMQHIrzp^Q+Z*pLEDX_%QQb=+n>AL#h%UhDEawFTqqV5SNMCK-yewj`1(-#JT=WmW}KkN%CHfe9?Atb zVnd`w)SaT_Mx^H=Nef_YvQ&cV!itAV)Vu!1;QJ(6bds zx~ls=Rpmh|K_3~P$?Nj%;#EK4SZ4NM0FiXvX@G4CYJHJr8#8}ETM4zTc+uBxvoLrj z!UW)!lC!%Iv6Y(3G|vL2Y!pZ#AtTu1O9(~GEIQfvyRq%pn1Okc$d6D7u(|*ZH zjQ?i=sDJka)6%#(8WP8bub2^jV8IDkRrrH`A-~IjeD=DFgG~}exqN<%6t9)(hjO%> zYt*oW(BDI9^AVluHxuu^jvvO{%4(-vKRFHsoS)RSVl&ZORz0`(=L?}=tGM%u08ryc z2Mc94N`*Y(NH_kVZz&X#vbx*(7>UC$#$|C+CFIZa(@Do|1lPNtEEAzt6ni zOGUM8kbIScd>}IKw7G6gJ$@Q@V=>vBI_eh9V8}s7r;!J+kq9@)` z$GhvDSxd#;Lgq)q6TNIe!LsI03Cv8<68%q(ICT}+kJiu`Fk zBof0tXLw|+Sk$$g!4(+aGOIS5H>KcnT%fQ1(nYf^yCEKk?vQBENPmzF;h&^?V!_lL zM=If~y^&ZI3z2V6L zaj}Jk?%ss`^R2X6RuO>-%NPp??U+oa>4TD82IqKC9hP?E5WrP=c|*|~w1|Pyif|buK+3)RywFf25A*%a}3de@$^vvz5FM1L_g7 zmlE>Ex7g2#xlEZTDTWqt7_ED6yBidriip3UO;vqF3`r@T8V+m`u#he#S25=Xb0 zk;v5@Jy1Zt3^p>w)_&yEz#O;EV0Jy4945K%B~18w1;d%Jpq^-pD@sL&E@|lJyd-TC z$yO1HWaUOA*m2F6>v6Y#$@^~zHrsE}l!CcO%&I)ARE*8Wo6*GQ-F(jv;lqN>-o9ud zd@+Wv%hZ!(CMU319!jg{$g5Yr^VWApfu~({7&*QNGZD|+4H&Zq72C9+8rR!qB^99Z zfI8M96=ccD!cdN)+%DLavHY&;e0B{ODiX{kgB4xw+UR>4cU^}b=GdOpEGya3j__3OBW;yC_?|qlrT~jnhs;{gZQp8=xGsZwOuGPm2I{Vf#(TAd^g8-sBcKove z<)W3h_wVN+pM1)A-SO6=edy9hZ_g7|olCHtbxYwDPJwz1dV`0J6A#3)!r?eNB+Tp) zDdbI?iEX%n1aiZ{ulP3fR0T9tY63_N$`JQ{GC!`yR(8dYp^9$<;_42%eWH&}VlF0= zz1PQm*B1*T0h*&eZaE&axlyq7=nQ7!Wu{`>Z(}x}{%j-jsfpLzr+9ep&`)fxysuBA z04Y6a7v6d;(6sZo|1|ZGy)gx^;Ll=&w@aB2yMdhz1@0{bLexylnrUm-Cur>5+(a8@ z#Hfd=LqwY?n^v^i6A_)hWGE)dxjz0D>F%AFAK_vi+s)RuaU3O3Fb9KS#eM3l4|eLE z$2SNvJ{gRdZh8<04Nsr7krK>aMN8_h!paW2y(pa&h6?|j{B`8aYF2_T$u6%~a$7gJ z!t599#=@Vee6qK+58Ymc)aj6;>Na&C%p=RfUgcB_G7WQ*S}8rIB&ACThL^nQb)5dX z2v}TzK*jqgLulrg#T`}x=M46!Lq{VHS`zrvj@#-Tu-Fu{D_p+B{WiAo}zh^q4(!b zJtf_v|KL16GPiPia{{A5)#>I4qu%YGY&nq+)x8*o{q+`y-L0hxXpR8oWPzC}tRmot%d58~(v34=vgC8V=84)hVH#;{dUcUL69-d&$bwbL^%(!uGWR8?2Rlc`7& z>_)!^MN?pO(&G^|Ey}y&)##gDxV?oIkwRHeijeBUG7&2%`3yCL57T77Q!k~) zxP}F9lhrcrP5h)l-dl1*aCT44=jNdkYa-ECe&rXVK>%u1(T76GOvcCgAv*D0xVKU-KbeO3Z+iJsWbmTXZeYx@Z@6af&l3_SN}M@aIzsCd z$4r~dZ(0kur-PpLi`i#r(i{e%J8`~vjbBbBdR#y7ro3-al9v<8IPsr4s-YJN% zY-b(!d=U$H-thT_rdo#V1%{AYiue)$xOrYyH-9H=HYehp}%G_zD)ztv=)^*c{@)bNnHgKD8!cnvb10jL! zOw=p16K7ABV<8H&|rq6O1;4q?6gx{R0n$ekr8 z2nUU^zA55f!MSi|Aq1Xc+1x*^cK&h+$JjWQhTuAcW}+m4{0Z3y=)XWRFH44tm%}S9 zaDcLaom7%R!1{Ji1+C0b?eo+c1N*r|!&2j@hyZ&|d}&z4@f~vW_6(Mfjy>9c4{ZG2 zW^gP6Bg$vnI_<$u4`IBrxAJy9e9ZLVHuS@=X&~mhZ&S?s({yATr1+xnDT@SD!yr_j ze#xExq5JZj+>L%x+Fhv2%zfJ&{bq?I*~CEX_iHF-u7l15cm{z(EOZ{Tbtwb|{&Gj_ zTredbAgTL%?gSs{Fxnfl6rw3rHEA2Yam(0>aTt9ymB?k&VkKOfP1%Ch^OzsY=A?+> z_+5|2b70ZBtp*Q}{5=%~oLE?vuywz3FfDmnt&n4L3?FNB zaAx4leF7sIlkFHF*wgHk=TUX8=2-F#1VbZSZ^EpfE_eos5M0HN>m>f@1O4Bt?MD5F zqR&bYM{WZ^dc!5Y`9JB?Occ?dlxohs|3p@!c6J{R+!r_z*PhUE*_< z2}#kmx2;w-?`BsY`)aLr@xm);a#gEOy_@`%j}zQq#oSF_&+HXz1YqY2D*u^v>gp#_ zTDsW_r}LD;`!saupa^<2QNoq3#T+~K_|tP;1{d9} zA2LhK(#Tselnc=lH29GuP+&SH#dDdH2 zhtFq&;~!t#uR6HJJSkx8pLXQ=FRS)1`TSnF?B2s0e%2$jSbnIzp?mh2!6h3zK62~< zW0q))Mdy82U|!q2%|Yz8#Bo2yLgO~;&IhlU)wNrB}lwQk}-R%JU?{Ll{3sp<)T+R$?%k zbf{!7bSPBW*5@jLJSkRZ=f&>Tn=+)qSPE570*=y~B-K-cXgRlmiAITk=>o8HlSWZJ ze?>B+uw(Hd&D*lRcOjf4QGkho9x44n9!_nUsxB*dWF&FBR4WH$;6^i(lER$rlCLs{ zW$DT$1&6|B-1J`a!*4(v)H_p=Usb2|l|ftH8Ws5JOA4&X=)YQQT&cNLJzyy$r}5z# zr??DR9HqxxzMLr|fSaaHZG^SyJLU1)GNArd!c#Cc7Q~p}U?_Jy8N9R7A!YeE4?$g! znQ!`@u``^1;i@nqC9C3*1}B=wLiGYwLQgplP4F5h(kXPoLuVdjo)Ht_9Iri(QEEaNOID%25FT=qVjkG7aNTbI9eA( z^kc;rb?VDk0XJ|W>~nRHi}lH-xJRTR!@cB>oXYJ@dQjmdon%u@gd$mpYR;eT zY9HvCzrxVCpt0=v&Wm0}Qa?)kN)@x~GJ5E1vPy_f70b5}Fv?LIur5qrY3~K)(H(S~ z93;E5VVm)WgYb#Nc#YLVYBM#Tmar%P;^)wvJ=Q>2t-5}?4U{BuMW1@}>M1?UQ6g&t z;Zz+0>d$9M8Nf~C@gwm#jB140SE3Y+q6?6KSGO{sdcRAwoLUK&y|#dB)xYQK!akm) zCFT|?IpqbME8SyNVi24#jVD?q|NY1jmIS%MMJBM@T&uS92 z0Bo+}R(DTM2Nb88)sC)4U+bNuAQXhkpb7pTO=rOtRsVHi8kCln6r@wSQ$PVp>2B#B zh6d?w5a|Z#uAy5Rk!I);hGuAZ&+}f_{}XVS6TiLJzSr7@mm-T!KQq_^h|l^KjukQuAeSmyReirSsfWfm11NL#R1S`v32as^eyTI0Tso$R-%2yfLOYsN z=KY(FY4xnyH#u1hhg|hCF$m#YH0%|L2J*R54R!V0VZQPPr3n@8IFjm-iK^ed=VC=L zXFtblE1l`1m@8?_QNp;#rrqfKQ14`EX zWS9*%G;;OnB>J@N{z~QPLlNNjL91+G{qsZB*ZA|z|`~*Eao5Vil-V-bwbI9+>#E>NB-}S63g{@%bJ!hMsF}Z zu!Ewkq|9`e`XG?n!MX@2W5IT4hzO-t3+>{YsrwdbHzp{?JRAEF27YJauuy83$Z-Bco^J-@g?_ki0ck70w`EFsBwo15uR`ll;Mq5NgfW**GL>6H;l5jRsxI7X)X z0V-A6l6*Q?q&gIOX2eu%U_Yrg_gN6brKh|s+U!fIwTFw%c(g?=d!N4l{e1%@vbi{S z?p`_VM6IwEI{*+y+xqoEakF6bI0H6l9WqHN2t53(EYdCifTu2j3h|mRFQJ1N9*-0? z#%>7OFuJcitq`uERG9NX+j~%5HsA`ZsXub-`{e>Zz`3Fq81mBb!yuT-+^8bEZi*WY ze$7}J@A4~}g6(1RSaN`Es@DX(%>{YpzZZI-KXbm#!H=P&w2N*yo_>Sn$e!L0%@q%{ zjL+JmLD&`+WD0NISuw1r*F^0|Mt3@5ZBBNv%vX{19SNlFZUo^XBPI`u?FRT<-L}x?~ z#c#;V2;)?6ed|GQzX8EeA=iHG=Q~nQ6XNxJNGLL=&WcfPRxOVKc141u_1~{jAHOy0 zJs0gzVP$1Qr0q%{mxtS{xy+&VqQ?RLXpuNAQz{A%S z=O9R*zQ$N9drDNZ_yNx0I-xz!rG#zpeFiV|E9qAP_a7sZYGqZ8n~&8}XSi6O?hXb6 zhyNZXuYHQt9ylNP=|$3*nTfu)7kKH`lTU~CvQGkgwcCA$NjX zDN%(E6?zb!eBPjkT=v(PS6>TH=K6iEiepW^($W?%yLb+tdyxM59xC9S%Vxw(>&Edu zEs>2Log|%uGXn6-Nd548&+XbQJs9$xN18X=m50W2R^E&O1y7ECz(}|Hn-d`>L#(<& zNa?W~(f7idJa^t9`ER9!!-vUe@?Bko!^W__!j~fng9XVPZNfIqH*S|8xZzY!fXx1v z3J&4!R95Y+UUce#p;+?sMf3R0m-~yc+fOh0x7sg{Um!PP%`sYz*8BL!>iVq&Yc&hs zB!RC-_kDMjq;kkbl2DI(B>c@L`%1;D$wK?zmFS#9s^5^`>XlI1Bm}XtAGEWVWDGk9 zPcvozGU;PoBT}ocGWPN!+dX+*qB2F(dMvp;fu^i9UpI-m_1;h2{8aG)WREpP38fz8@Qjq9)KAGLgr)9kGD^WT@&E<@{9!O8 zS{+7`4F>5U1(@w;5gK1VeBhT2XEvBAEVcPc53NtFqZ_#16s8mvjWSxuFuzyn6bjCM z07dMY;20-O)<~Iy&;a#PwZo4O&5<1MI@xN(B(FP)pk|4l{S9%)l;MWsj|?-#U3$7wtV?s4RG0SaU)`vpFGSHKL3vS_Htb4C1fsZcl0}4cc2%SSxOcni%G~| z87P1RT|zw*2ZISYsmS|JhT#RPhUXcIv0hp>RtKg{6lE_F)j{6YYeaj zyrq6FZ|#&1e!qIrURCNwaXSV%wu-?G82sCU~f6Do! zl7|yWX_?l>96~}d$D;B#!(mA(SyQSX6kozXXe;p@;mQmy_-=a)a9eP0JB^lmlXpB zu5_va9vFt|Po`sYHlxPpSWJsg!Cx49t4&5y{zdcp*y|99Fmt75S+jicKBpun+q0&} zZZBzGeYx8Y2%+r0@kelkFP!>qw4+`?3cl&ey7=R?+62r*;t~@YPnzumW6C7y zY2n9MxLDjtkFw%9s`N=k3)svfB2fGPAtQDV8mDXJi(Nwdm+bNN!Oo~z%U!$H?STpc zwx=iz$NjFUbKQ*i7|kbh=J-oP6<4Bd5EfdxTR*Qocru)Wqm{1H_G(`O*^&L`KP@_J z9?SHmi}J@0;de}Fl$x84B85|t!8w?t0#aQu2cKg-Nwq)VV-G$i_8jzmZiUKiRjJ(m z!D%n5(ve$L69JO@{(Fpp;}4ia@ltw*s}1PbOuER|1~~=)Q5l>x`kb^UJ4gN=@S(?Sp?zK4sl@M)-Zl z^8Qmt|M*M{+UQdl=+Ac>8mNUQ*q-JF()5{>m4Oj?j_(j{DU;OG#R^BNx^Gj)U}NXK1MjaS1oWrQFt#AO-izI+Kk>Tb%HuLX7wbFYG8^f0yg)= znSRjKCgXaJr7Kg(4y0mSNI|<_oC+T1CUKyj@VbVR=@Z11>cUNiLi2x zaR&t4UjbcbocYyRS;AQ88R!`S-~S};EfyBHk3X88`pwEXCIQ)d`nm_Q5y0#Lp5yz*3yuy1n7oH1}JtXaKTi-$FNi!<&g2=y5>sBFW;6>%EU z=52P|g|jALOsTc?H!_Rxp2EeZ)6ew0m>wm!?z@Cg^$scDddkk}u#I=EU0l@24k*$L zwI0Ib3Ao$}LL@5hFBENJb;xUrLLkJ^o=j3l?q2QRex+$wsA!#(6JDeSN51K3Rk3=S zdxdpX6Q;qlAt`#fWp;1DOzmd{Ld#vcu)%VosC$qna5l5;L%j((f#2Cdj77as=*Yu> z0d016_BZ~_vdRNZ16?erdVt+S)u~Hcda$QRRO`pKYlID}f!FP3!dr;I77}Di%uQIX z!0LQ|ssC_QBVKPu^#4~F4?_^@r&Y+4NC1_f57jM{Jo~mmB7pjNhkOmeKLnlnk%`Lp ztT&pY)a{UE^+LnA54RL|LuuQyw#ZU@Sj}26X7*n_tI^&tLQVayFNI5RMHOsn0#kqCt4b~Xozmb2YXN6q>OY(Ht!1P(`9?`Dg7y$Q#m>^lv( zlesPR>G0B8y@XK9$RUJFHu26pY1I_^eAb7;F(WqUS>Xj*tztXH_N$?6EHAU(wp`XP$ z1(oqAOU(v-ArA*yc7NveS#mnHINZH9Oo%5#u9@RaA103GkHd;$&;0u%k=gdhxV%LE zjHf>LHESz!V2Fr=^tg8o(u!TvS8jRQ@=YVfZB}kcV+EA}D({q=#m5mTGyWflKHCzW z@BgEZq9#sJtlSS_rt*;Li#Om|( za$S^05$F79OqTRMszLA0a)RqY&Fo9`LdBJsz_hDySzBgpq7v=BKQlyDK)iM~Jr_R1 zX|cWH_IWSAyMZ{WZ^mIqWarg6sP1Eix;Xhr*q8UvpV|?&oE}9(BK}7Iu5@xsbKq07 zYmAE6-XZOEPv#G%Hx88@{mLA3j4Mq}jBwFsAVQ3293m9F_5fn(B02)U6EPm}0rVL| zpk@?)&+GTviJ7yCLBY^)qycMp_acwH+|a^j0bHUNTJ(1McGP4+cH0myr3@?BEcacX z$aGGEVEHPouLjsSCY}S9IL#ZWRr+8-QbAH}_1kSF2dr4pCbY3C!XJ18*WJ*s;pqSK zAc4{Yuj1r=IFT;5J7jTx8E`VM_C#q2xIPlRnN*}%>}L|eOPhy+gG5<;eFSbsm+2+c zbn#@WtBh^gPG+sQK4IdkVjGAdw5v5aiWaK;)G0>aGA|IQZuQ8m zKWPr&DOgpMef=up#{##_4#AMi-ap5lV$CGTEt;^Lk3%MFKc$YBTmGO{&t?mKpTmeA z6v@FxIPco{pw9Fv))b+0R&v`=dbKyLimim}_0NbHi;7QwCHVC(GTFqotz*x#86|Mv z1^@eq46!fyhvbf{cadK1GGd z$!3j+^zjQ#4d@%iPS`UJ+9Y+1!NKY=N?o>sp? zrj#6VlYO2J-uMulrUgoRxl1l+zv}!u_)?6>qNEZQTxA%M@(vha|3}oA9WO>NKHO5p zP`w>ooH@_yE~uYv3%!4wm7tc++2;yV{Vn`dFHNse`8}fc9xfs?si(V?XZDLXeQm&k za+q-!OSCGv50VEaRhwtoF+8l^Xe-Ud^d*pa7WK)XSosL zp`xLlS>M;SA=G2Q6n!ROjK&S?nq`Yp-%mGy&lx%D`yM_tPDK=~ePvU2hW*LTuzbo~ zH`Sv%OMK~C*;RdFHOo-g=Y(=&T$jAZ=gEQ*r@NH`!Fj>Z)70JlDk^oY$(^V@U{6u- zNxM7l@)WXjw-&@jcPgid36%X%?G_cZ>#uXRL}D}SoGY+I^rB9iyWgZ_s#ni4kUkX8{!vhM z-c4Rdp2~LQk#JgA3OHlzcK`c(r??5>YLcyO$8C&p~b0i3yQ$T^i&1iBp z%_L_mh=80|_*EJ}yy%P%In1vqD@kVWT584l8 z*=h5yfB9(^z47$kH42ig%%J-KEm)wrRCE=&KY1%#hx*yDWH`8QEzo#%^wT!Gja__; zdq}J|W4j}kl2xHcS3G04qQWaug9d1teIGk5(yq`2B=pYePmVJa6K@74(c7F&DWMci1W0&;a!)U z-i(K3wJJ((GJF+WoN|%B0=*nDLfmR*fF^|Z5pUo0?b8}taMPaeLMKc zv?oLKMrpq0?2lYhIPofPjR?dwrsDe<{=+G(9tNeXbKLtbTVuw#K6oPf)XsM5iwB<< znwPcvA&~Uxryf3{>hmXP#A!8zwpkI-HB9K zo;L+s@GHeT<`2*dy+_}s?y&uwXIJq2CIwtBiG2~AbLYJ;6ye&a$38wWAriFf*{5zv zXD@3N(}YShcXEsI>xpl0A@qzm9R+G71<}y{(NX8GWJ(3(Otrz$8cQ4**EeGe{8Q>_ zcJ;e78#kpG4KSQC;@R4!!>Y}4?H?CO>&H)Ge-xAE^pUqli0dv36Sf5)LVCgIkCt4l zCMMmx7U*uoi zF$a4s*KRSfPgZk$PpeIR58e#W`Cq9k{%0a?pu^*gh92Ail*fMko*)^b$B9u(1n19V z)|@HrY1PtGQ@*eE*Xwo#WQ`xyt^Eu;Q~LCqt!xBHE@osw41d2DaVTDo76pJUA1r>O zv}U5d9KBI5iSGCzp^K|8YaW$_Ir&XhRtvn;7Hk$R8mmN~gixGfYX9v`)!YjCY1rME zy*Kk+$;tCjLb!DxKbgVb_cy)U8sE}a>&eE%Z_g|AP7FQQc2lR;yXvrBc8hlfeJ0V) zp&4%Iwyj@8-P+TlWIzb233=1d<;_?mC@gEV=<(dD+y8Tte-wpbFWH}fS^QLG(`6gQ z@`eK6@p0wto_yrR^KqQ+kR-~}%rrJBZEHq_jI}M%F|2>d1f(Lu9Jhp6|Miq2GLrt0 z%6`A%#2!E>!{{K~B%qAi>cM=d>O<;bOnQs3OVvi0uOqdR?{fd$I)v2Zz+C26TGu=U z>9wc%rSd;7K!+`7z7y778;YSGpy*A5#luS$2$F*z+ zpQdCSU}pldb5sl!QYXre&^xEyjVhu(C8`_WAxh&8#vDXRc5*Ooc2^H$q|veJvWec0 zi6UVI{O~PM-uIa3q0FQANPH<&gV7>KkLh%=o?w1RjWzcmN4;EWL`!v~z1`qXm&`xc zTw8`8RZvb6+Zl@|2d>zrf0(anSE5R9=*)DJLS$am8^H{uV3l=#*j1cxf#tuUv^hh| zjPr+RMI7Iv<}`A;f4*K~I9?05Z{Vx!^5f&iStT>Fq8Ijj@80G#HP5$`r*dN3btG|e z{&nDu_E{KlP)oIq52R_JB=OJul=#z?lOLi=z=;jkI?wkg5qoBiiUgA0v73J)Xpkz$ zSDk2!8#$GsVw-%oO$GUga&1+ijn5}4TVpTJ@wNMI#u(vltt9kN?$1w5Psz3c*xe8M z#?FhcR%PiwKO+K-eoslbgoDa5Z=6qct5)>!xZO=A6E6MR5UIS%5cN^Vdlj!Z7_R8A zZ=HD1HyALNqqJ;zXrnKQ{^$B1NKg|myed5f$K|kL`p@K0@k54!;!nFhzVYr|9ED}L z<{62nMzP|$+wREv;xCzHDpbQ)_Q?9Hn{1nFC<3&1aaFLvtI_X#D#ZCrIvA%4o~Xdk zsLrOJ3ohPFf_@_!zYiCWSAjl#Ufg}v>zQ$T;$nbtdUcI@M$EV4^Z`0Mi$=|GA~SLk z%@0{q5{RVjuu3S82+}3-?d%#tXKz9=OA|7TaTT$V{EK> zEEsBsrd1bLoaN{Tpr4M1COhkUO+N`JDA@c6u=nF$s?Ek^s7Nfb{}7PwS&KSKos?ka zVMcF86lxtPU+vcs+?ZCY6(f@WO?TpSNuDLB)T6&|DtS zB#;0A%G6>TBSH`S0BbqVu^daVB-`8HHyvr!^^px0ZiOeq3Aw+qa(RFI`pGz9AAmt) zsLA_FV(IdcKZcBxLN1mg->-?PF9?dkyyQm`lQl>DHFB8Pt&;7^&w?it;s}oDT#^#@ zY4;0~vpwEjYf@m@tnbF3PbNfz@Y{-iy;6|Lzr)YEboY8}erl8wKsedqB5)_M38z8; zkoGew9HU94vf{xAlesrau0A%Fpk}$DF^9z0d$)(i5AHL|k!=-2bZN1P88{Kr^?sK?O6uPuXEL}jHM zfUXZEpwf^EB_sh`HTvqI;V*lA{s4s~Ia&rKiN(WY0ku+9c@g4*l55aGB_BuC`%Hq< zQ`SQJbhxt;INeczWsc>Va_p*8@0#`?h5$R>8mDTBg(`50yZ+ZSH&NZbL6J_i!BRCc z>V>a^vHt6PmuSCwvn)QemDcTE>QDd3o_D`%w+YbwcXdszAMbGEfVvBc7slDC^K(u2 z6X$981AMKOvwr+U=rvjB$`)*W5bCJ~vAmmd=rpCVZxy4ab8D#lGP<_?7+GuPMHXzg z0Q6q?KR&K}QZObbul6f2vwhK0^Ns@dAL;C6Jp8*fDm&7e11$ar?P6VjsTl3O{eA+_yJw?n>Zcv5Zh4Tkr&0Xv48vSVC4 zqg43?gjM67u6Dvvo=1uRJ=mjob4wlQCkeT{TQ$K5FAHXosUfC^ZgscQybhVr8i z%JCY#hURC5Uf|qBgTqD4mIR8=_zMmSu+znLDsu@bxxqidd%v$ntDR_dsP3z(_WEBn zT2CU@K$@{QpJW9P#PIiQi*Ih?HXijkO&w3@eWop5<&oPvK?yp@ny=HZp)0lso+Z|+!!3G9T75C{2kTO<+LxZ>^ zg9gh3l}3U^Aq&C-6AHn|UfHL2w|uNVyT4OgkE%P){_B{XI@cl>jEtjJ0{*c%>LIaZ zw2<3^b|up~B&mFjxBk6v=E#a6W*QP9HbVwMubX(2xDAMeBA|BRwyexT7&wuWx?@t` z)s8Kae6?CmL9fS1I}+gy;V`-7o97FL$E)ywxBD?t`$_aP2oJ`Bfsv!HNI&Pgu{A#p z6>iL6a~y8G^Z99%K%su^z0>8OT$$A%kM`%kCj?!Enfb~X+a!X^{|ZA+{Mtz}C0Nfi zZE~@W?%C&eD5kRJ^m|!I^rWIQG`bBi$QZYm!UhJ*~ z0>Mf~L^mRp^}7I%$fD8E99ab{-2dV~3qx7Q;u-Y7Tc{2{zzquy53#JTfUk-uw*;FA ze0SnYw50rnY`-q>Epd(7)gu6#ks-cA%?u<=-$I+?Or;>eiF5j~I*vvCW|mSgLq(}{ zTwqcR)I$>9!uIF(^xbez6-}taO7bWFw9w5?XA6$yr^NQkkz}({y1^E3TDVK*?j=Uq zB(p=m%-!45vLevzPe`Fy_}5{{69=L{%!a&Q-0)A$E*`&ZOmGvQBALfD^Nk1h;F>s3 z2tTgEkm0sPW>22m-VUVNa7|5`dSHSMa88H_g`CI6s{8=l;%heHJ$J8Fn2MQ0*GN#oe9Xp&++#tH zhj{byn+yI_szwpOcf9iNy1Z;KyG0YoHC*Fjkl|^0%gICL2rD<4+V(jcA<*X%TLJuz zH&8dSAz?q@GVQwqGIN`#T)uu-#xuPNeIgZe?^&lsh+QIs++GNxNeCY_eHlVc9-pFg zp0Rz$>d^{QmqxA^XExo*!H0ma?BcY4uA_=c*YkzX|D9an-b#o2MS*lKewBF?`}5d3 zf09tUTAD>By6;rlar)|9QpV%U*_S|#kv27KS)!2AEP7BWY5vRTqMWdW^Op*PKY)Ia z>hVMbbs^5(+X2_VJwcZBGrJdHHv}(Y>7F|Q<>vUjbeX``PxE-OgYEvY zwZ)3b-kh;5b4RmALomTmfh~&834ES5bJYFy=wscYKihf+qvA^*UzDGIiTyLAIeirA z$$h?aeL47xvw_%?WLcql`0_>>ST>wjXu&vdLAHt*CZK#jJj-al6yb&{3(Y2FYRz(& zHT_E0k`ShniF$DpoBj=ylyvuY3A{bm;t+~+XD@1hb^z4`+=4UW zFsB)twr}G4AQUtsgC4>wNH5#zXh0fyA1&Us(eHr;&bnSFRAr-1AT`$XaENRz;{5&w@Q~;0I8O$duda^B;*Lm=wz> z^Fh0xB18s1r^107!Uc_1WWL)wjcM6mK)vAKQtT}!nzLQm&*MK-j&@ftTNvsAnMqs! zx$V2|eZ51;#!X4)4F40;^(h;lH13pD&xgXwvFgO!PUe zl#H^Uc7K9=`6lz`R3|t1J=6w)-+@$4@k{5Msvh>-&{r*y_IQyhKj4$}_(Q-(s?_p! z_UPRX>|_f}^IZ1qD5|s}eH!zKV)2E#F5MwfZMLB`gI zeD~4?%wJA8|C1&~9&k>+8r2!bq}puz)=*4nomf>OQnwXGy_^Lc4{g4jv7Nl6nr2Fb zdM_t$hW{6Cs`U%Qzm26O*N9}~Xt%hD%84<#O94LC+3m~O3~kZeru>_^dSJ7Ch1D!t zhgukpgQ4%R>jV6yN;gE&A-b`?z1OBz)C(P!xKj8(NX;MXw@z@cHZ~ucSs|JVBQ59G zv*N0YQ8XKV=Uo>6unGJWmi}Cj`Znuy{qbU5!9^ee%a01gHESbq{f7a-`w zFXH`|EF`@MDxUrB$4&4Uy%vAcTY^njcKuh<0{!Us*jU;1LhX|P)!?PdOCqP|lipFZ zbE*3`m^bi%fEtYra$^eYxWyiwTFlpe;`NySY)Cmp3LN?E*_i}>LEP7rm5yGmh zuCl`ZfjCHjmU<0^BKp9sBjmpE&IWR)RePKQR-7(~iD_<`^{)VZ?1QtvPwcZcXL)ai z>gVVz3zYrbbt=iphRQ2%6m9qSgKGTDg}MYB(q}GEe%K}c*PahgP|G*ar~NTXP9n*C zb^+F!u$8=6a7Q|kWD0X|-WL6}th86Uszme(R~9aN4u)k(Jbg|lWUP>+z<8g_&M`wX zk}OG2VPj2mCR?F)#~&G9)%WiDxe4QVl)H7jm>Tl%kAyAh>&KU~e&s9)+$>|HtWzXm zkt!tC)IjyIScGqBM&~={?@dWV^;@~1E=ICEEQVbL%sbJ?E^G#Bu45OK8s8`;V1@~y zLz&;1c_Td$R9jg!mwIc&qe+bY@&9vheu-eQc-aR*aH}<(H&`E%>xUpq-s_-+^V(vM z6a_)oD-2-V5(pB~am4=hqzS;(D6Ec$z0t!%XQLA@Y(m1-7(}F(>heNb4LXpZf|y~- z!}rkl`mzs%XE@%~onxcQMebeF4^Z!J7{wAi`%VEH5Z(a`ZXsY@9heIVFxnG?n3Cq| z@L=qqXl%hZ75H{MK0kF3JP&2JVAD*&Vk{lE{O{Ni`{R9wPJ;?_2rfDcO=Qx&E=#&gw z5>+wYWnlOU9e0pe=?^xin&fU5Co(U{UC7Q%bkgMuvKuFs`5c^~dT9`8fmuseC0JHE zjG7D6T|zUSMQJnJl97rTQn;x+qtr)6xZgvAlzlWOkepE)7sm6&O}facIG493cu~xE zb5a|Q+@Bs1zL5)u31Ahj8ul(-79dqej9;cohr}WjP_Vy-e>c|(!vZ~Ra(E{39nwaw zBwQ^mPZ<3L4{N=~xR@wIg9Q5l?JO|F{_iN466&?cCwv2YQyUagg)17R;7N8}z70_J z{q0RhYA4l!6U{CNVM`%6sJ8iPtOiIEmqUb8Cl28 zhHAiCHQdMZbfER?-pHLO1O6$1^~qZ`touiUE7)_{JKw>h=9HUE7bozV2H1oLtGFlF zrH86_eHy)?^}RYs)|i#Y9V9%(SWEZrQY|Uu99PA1It*;WDIMg_|LT~Z1(eYmDYN@A z5VeKvcN}-e05FGrrCsOt@JGBbYvtXNsGE7qVylNN>I&6j9OcyU05A(Uny>T}9^PyA zhv5M*7|hk_b@4axZ;<^dzVqPj2YkROgClXhiFNug{lMjOQgQ|{ePO-j=n_w?Q9ytS z{_Pnrw}D*fQ39n7KsstXE(nX^OY*hH$le_o;VOy{*-}>*^O@q4J2>4cYzhUn@Zq+1 z4nFIA_4qsa8urtV-%JFLN#VEWatIS7!$SAu`lrdB=i5AImMSa&(m{55mMIN*)3n|RMOLiH|QDmho(T3`2ZqEZX3q_hm?Hj+9ux91zAb#0mXU7myWP`3Ufob zz1rB`d6vggx&`&Q`oz9uXfjG-mj|ZgMe2v`-~~Vnt1~!?t-Mdjeww#|O&vTRG)bCf zG`2j&;Ema9gH45i82pUWk?WwFeq zUXZY%OzeF@bg@>T!wg*MR>r1FoI*wziucDZtz}iW_#X=Z&62aiIGXpJz2x9=jEuBH zAq%{>yEa4M%pUi|zm!pxYzp(&FuU1O5rhjF7;!#Iu4Q;7i2l5Vj z&i`(?hCz?(Sy+t?xIXrTS<)yE0abo>d)?a4O5> zyg3&xTx1_`o0QSBqO>(ZI8yS~k3;giN;$~v4epS410Vqg;Gfdi?cAua0RsFETTDw{ zYr>AWLh1=OVESFmOVG!$qkf%JnEQ8&h@}$t9cDmaAIMB+0^moX4%ECdQ5+RGlXBwZ zmog(6S*ZW4iI^eV^F~TOR4dWI@4aXmcLWP|Er9ml*rV;zRYTLFvM@5tqjR&(WAyp$ z4!`XRO(Q93UGyU4blT%4I`^`3m$~0;keoiFJns%Kn2x^jly3QxO(AV6mesNsS|*kHk4%MHU9~j z(?4Icn_=_;dQkod1A;lHM8zXK(JG5lHuz|T$Y-H~e`pg!~* zp8h9)!Gd7_dsdo6iF*Ps_$s;<-TM;X#D6lSQX4fqT_?jKr& zVcdG2ZKrEP`J6Np9&ZG64T6r&cSs>zf9f-EW=y+4Dkm{HAP3Rn4?QmY>mM zyNl0oL|Kidrn?Fg0UmAVkT*h3`tw3bMFjz6F;1habi-fwvAEp`oO}r6nCI{PjL@8s znvnG$M_VA13*UPGBX$)TR)i4a%)UJ6MOuwA~dM~?0scmGyJw&7? zq3xt66MVlU7D{&AERszUnSK-Qc3AbJ(oTNzl zQ{4?xz*A|MO*x|UYC?J}Fl0xU&P*IVFi z0?*B1$s3Hw?_2L6iW6Q$=_x<5r$VR+#cYCU9OLb09H0D}>*V%{80X*RfXtvp2h%*Q zW_LJ2ZyY{IOVTBMne`fWm)o(kv=C0M_k zU&+H|3k~XJ)$qWQc(k!!7L3XGgFQaJ+30r&skD+e1jJZ>_~%$ zofOtp&GJ{-NNbXJIzi7&M;v5$uabz7)}ZpGi#`$G&V*ch6seq~o zgoGbQ8_k$us}Qk#conr_cLrD>;LI^A(VG6l-T?gQ{yh-JgL<*H$cV{1;=26sZ`G)} zCkGs&cpojeD^EL$KX27l^3`Bo?#-hX$^a3jf;uy@dAiZ4C8P#mJu4}Eq39k?CC3BFR$Iy z^6hGz$+izYBVu%FhOin3T>EhBg10s9DoTIVa(|KRt_YRIueMO861DW@U|6Ye^9=#U z^tCZ9S6v6GA@qbPe(y~z+#cCf29F!yak`aulLi0v^hIfUWY&vHi~XA#QrXalF3n9X ztImjv-0(QSm_q~>Sos!n_!(W0&~pY9NHAd-j=X_!q-SEkcOLC@nJWVgiJPHW=L(LK zf9`7Kv8Z8#*u3gRA5|<-Oe=rtq*;2C41{{X3BSVoLu7_P7$)Lm zXVV-)gVr%C4--q2J=wzgzfEF9f;11*pyVmY+HIEsuqb*GJkWj24Ju#p_)%M29G0$? zs%yIl55fg8R44$-@pppTf?l74+vM>eaoYRUqW3yKz*Og~5Ao2=`2bZRuEaT>objU5 z(e_@7!?NIa>l^X-O%;dcYtX$w!%;l!IUsJHlwu{vz9->)GBP1J!EMFEVHn(H#ijdd zg&IugVtZ7`c$OAFgq&*7qLWz<8L_NZcLa~t%pR)mM};#_2Cw)RF&F!iEPJXKqlu(m z6u+NY#u>;d>OvpE;nvXgmGP!})^$8mW;8O$9dFJZw_vhFqb$Y(YR+g<{~aR$&m#Em z8oL56oDy4H*C%~^y#I5Ol|GFt6JIE($hv`p#C1JA$?WF+xqDMpwOx0Qms$w^W0M{i z1^;OZ(QcmXEPE>sr%nY1X>Gmb?+H+=BrI24pW zR8U&(+f3^8<{9rHNxAglBJ{NAQU$+bQM|PpRtz7lwxMkyF~Z?>qwE2^KhIktr`qwu zPkR;F!s*rOU45}B>f*xAoevg4?Z7m%$dMzBpqd+Y9|du92}p19g-jFscD+xVDJF{i zEu&O;ne7o`b6ih=mzfVUU(8 z@tkj^LoJL#7pm^mNU*Ns5w9ea&kJ&(G&524U&@|ks{~=VLK|wIAMAla6>xB9wb}b@ zsHJrHIK0rg(cL0Y`P)XncHi%!vrG@K)^DIn>CiakMi??F6+s9VF)sHm%g`GVr#PZG zoKD_JoB=mjBzqbaRki>b*-nJ#am+K6S1CND*r{xqTWdcYHhM78&)^v?E_=shjTgk+ z$SGK=NRLb;hqK&U@`@}_4lRX@D^^ixXR3JLxJN>#@+BD*E_}s^Qbgm)<>3@|R-LNSx5Zba;{W}Q89LSX%+$Zvm>;+40 z&!Lq@TZ{~y(&L7sq>O1@c8wL2hL}agvCim&=7;u3`(00HML9b(Kbc8CdfW>*v2cbz zb?vg+Uto3Etp+u;?pIOF(E^jMc=(58B7z`MIhYt=FiE<7?-gCr27lzeN|6l~p1vQRT^-95R7= zKJOoy;;`)zH9yLo(vpy75fgPmNxj^26T_*mXvTJ0=WER{>&&oKIK_!_=|Rm%E27JI z;bkY`j(@*;7y{T*u&)A8D6F^pHZ%-iyWTUwv zv9Pk7AjFY65u*3U>{xH?_KACcu<6{>6FLGBv`AB0C|Z3ygMZo1hJJcS^8M{poXTGr zv>=BDHa%}EKa3g5L~Ey!hfLsd{2_gVW$GwFo?oDQLLmeM;GETE9y_+*~+i?Uh}^- zl8z%rWegT2VmNQKbXMgSJ*kvp6MWeT#GBOf6|JpKeVX#AJAYUZ-GY&ddY*W!`eH{v z?Fn?3`@ev9*@P8NxT42Znr)muRjZp}&6y!1H^mT61G_vvvN4|By&7go>4ieFha58t z)uu*?-#XDMZFT(-;le1TS7iCR&moo07QJq^A3QdeSPpk2^z2(PBKCVge_LvOcC0P` zpX=Xjbx*}%XnJ_w{P94Lfg#=;NQZm)zP|n%yi*>|ref$k{MH5Z79*42(Q1B4+s(vm zcCtFq*|mtrvdEWQs@p;u(TRZK>zjCzv%O*?7+^zlw9Rc){fO~)lrV=bKp@t$sKy}M z*!NC$Rqv!Jo7;0E1XPClt1VTA9NZwJ5nacSvPvcIG!6;Mo)XAm)YEqPpM(Ec0;@75 z-#Y0Abh*`m8-NAUl+rNx-+5w0@kQm2ApWn+4GqR#y4F^b^kk4)DQ};9-px;qG0hgP zjf?)IbnqP6`V-8}Du;56+8<@?n|$K~6~xy5JAhp>h}w$AemYpiNAX)A8PWuQe8JT1r< z3)npXHI#7H@71SJKTX}P>x*v8yMs8~H>V%lxuCiue-JVv7RhJ83JibITh`V26{v|# z0(H8`NItXXA2b*$aotcT={?rRhlT*J$Fk-=P zOjKATa1!U%#3(JOr90~9OR9>OGDw1a@j@XC^|v4@el7B9nL3gabL9mk@`Z-a($e+K z-+I~zSEV}a^ZNG$%eGdooSd_f8};9j2nyBByfj>x4ZnpOxkMfwZweg=D>MzcvIx2C z9LWPYm~SDEzb!xo55IrMZ>~RczM%bwlm(`wi83nrdLjXtm(HqO5hZ^ApxBveu$nf@ zFGJ{lH;+g+Jc4jAhKtR>Q#+89q9sPBd)Ps$h|QiOv_DnU6(s3P9G! z^N$zA)0ht^&Ksd>6oR}_T8`;FF4n1Dhawz9>hre$2PZ+;zO}$hylmgW&V?py2aAI;IP)NafF+dXU92v%rjr;YxhF6Ul zrzc==e6kK8v_G!94K(Hc;DcQ3pO(fu*cpwH##>{D5PV?%G2STR9qJ}zhk2mzwx@0K z?7B4G8FUK@jxbPkOj1@@EpL_ABRR$e^pM!=o#M$E_$RZ1)ZmGy06vHBPUwj?Ij*Go+2NgDrWEJa2(o zxi<avV6cAMowh1|KsJPQ5vev14PPJO|~3Q*WNa=nMPt@RJX@J!&l< zNAB5-Kl=Co8S6i`9@MgaQK+{I?q*gdJ3BjUyKxLXHQT`Gq5atP%u|@=LyHLT%ZQ28 zAsDW*&c48ZW(EM+daqdCgW(Os9&^?zj5i2qQVAx)yPc1&Y=cM5_-l-wUzX(*x^Zn9 zk$e~0mA@u@C;zT}!pfi22m-H!j^K^>Et_rmT94}XE$zL|EAWuiZ=KZ4bzJ>E*@>wW z_O#`^1#-C8`l>;0$!^WUwP@wmcU!T%41%56CVdwV5wjk_R)s4;FPxtL9)9AZAHuDx zd+`$={Sf|ot}L7pYrg|Nsd^!lAXcJq>g`!k@Kt+zDtLbH^BCSRj7=Lhq1rcK>z@PV zo?Z;CUGME@akZAl+uEKK-odT}X;=tvqwwayAc1|qfVcNE81LAIlUo^KT$?t*?ii6T zQ=0CB6T&QOA-M&g?F#hCu0vuv*^e!`zHf*7`^gWTQ_sgM*sgZ^!O8uB&>JL4yyJmV ziMRAq`TtevlhNh($>hF|v5y}63skUGVI#-lym;=Y*i!bQtPi_c;kql5YSP|V94OWSNUhsIk6wi1`%XioupYevzPbcog=fo&Uy!l_4@kHXcF@%=KTh2sdU1)Wc z)mem=dvf&9D8`PCV{px&-J{S1uh-8SL2%ZZ^G)k?mogXv8jUwFerz1i9^UWkAoV&g zuibk8He{ueTefRZDwXj0-+vN~*@oA3zB9lK47SnhYJe~^+rX}8p2GIeK8_3qd7it@ zAmQOBe$(0pS5G#~VevID>yceG?Z8R1FHwrygO-L6Pf^CaVX3mTO@1QchCvt7!Li)7{1h>nMG?a}`7 zo3|(Yh(Z^ZxWlNg@pDYSF+ht9N`lcX*x<1~**7KMI?1kl5%2Jn5Bf3QYBrKAgLQh` z)?7}i;}Lkntu^zStzT-dNNG|OeX6hhE}AMNnwcFBHUc$3gVR*)C> zIykVQeKY=MaNsNZG0<1TisdUnL}<2hz~m@(WHA3fd+#14=W*PJ{`#9)y!fys?C#7m z*S2m>EG{S$vru9k-)lgU6LS}od}D%6>|Ei9;$T z0ZOqGSqAMZMF8j|vH;yb#E{rsO2pDvvT4)Q&HxKalqf#1^L5=nx~r?Izi)OIZ-`-^ z1MJLvUq7mT-Bs1q)!lHGZUV}_(A_A2W>LVq0;d*Fg|_$*C*#03)FLfvNUMcNj(lvy z=w*J_d%zWnJ5Krr;|QV{o#(Lo;XU!JE>#bS4nK7GAf`4?fdJfg&nHHAyYoJ@ zhTCc3Ceaq}%Qe-BkdF)d)M|O@8~n*GhOBISn=%=h0q1^Bc6_0W5Y5YGv_mVNdB418a3X9ih>ut=3;);PgQ%l z`W$Z{I$0CnVs3>uJLcHA=LzZ3B^h@SadPk~idoNBjIAR*B6bU>N?OWBKl?dZHlQw8 z;ziq{2s_Z4jS$E(G!*8-BX3_c?|cB=482z z9)G%!b26w}T=rQz1-X5IGQm$Xoz-^Q)B@gG;8>uG!m-JU7Y^4>zp~(RfE)rYMxSP0 z?hN44Wr7o#m5epLDlg6ou236OKDJG+xYl(k(v{c`^eA)g0uGG002&URFMw00p1*LF z!>%ZN|JbWoyBb)#8d$wLI`W#bwKnSZ^P2Q+FLtUF5lsDI0N%6`y!9|dT$Q(dk?Uav zCauu@Jv<3ps4{~qDRSEu7FW7mgdz#6bTF_{m1<0+Ht>j%vA|SKjCZi-?vI7&0S9cn zdLuq`%g+J;<`;VS&}~1D>)(4lcK*~ZI3m39`Ws8@s-?gjLhIRKRX874+ok>52`6;Ld`hnlo=jU)-9&ff^een`-LCsnj;Ge)bqbR)qd>D6=tgwVz4FQ{SiNQ~;1IUH zQW2t+5f(4B*w=h0oNS8=5lBFz(SRopUwS!Hj%^@T7Ft|J$KcG|bh;Hd=QaN&IP7_N zH;$*Rv%&E&xp@jZw(YP8=%~YZxyHgbPT+HY_BqTvdlb{hrcEC%0O&212M-^_bz8P# z<2&tnW2EVJv7XYS(F}B#ajPU3>;Saax3FW|PTYFWbn5d7ZwELEPQZ|a1ONaa07*na zRNO&$0}{C#-nX)1kPf%H?1aAk5$4nHa9JVL(8aVk}f3uQ{Oy)BX z`F{sW^m%fI%YwbGA?liF8!|n5F2aK0T+hiaMlz1P7=gE{8Ro&Q;YEDU6^rSH+I$Dw z`A&+ius(bF1fhq@XC$a}Pg+qnJaJF(~CJ#a2;wb}dWM=*b49y_+}L~DI3MBn)k z{pbc`Q9l!arht}d;C#lq)Xb|3_oCwkfconvEJP}7Sd=T>m!?=sR`}e_NU#eLffX2zJsbeE5hNzU$DV!!& z2u{*p{jGnVR-ID$oR`$3EAc~|ueo7Oa5#Rli(7u{mqp1&BQlh4c`S-Fnz-?GJ#LdD zoCf2K_-;PFUG~}Fty&`vmO8v`O86;bL6;$A=Xj%nH}je6%Ex#93rh{_b zwyNA8tledNIny3x%*E0*?}`Xdz&r9+;jQy(x(L8+H{St}PxOeh#-#-kKEthOHo=h? zad@KN@52*e_3G7_J~oZdec_Oi8%4C8>TEXVt86~WY&J2u!e@nDK|Z~mb3a6gP?AYW zHbuPaY_`CV(8ec@9Kgi*1b%$;WT3Uth<(0F_o`K^;+b+`+i_7evADPx`CCLiy~rOI&e`J$e3{{`?H0j7gs>jp)){B@ zx3q1rT}P-!mpb(@mp59%Yx!Yh6W^r|VM_EPoIi-54`ZUN%(ItuASpS8XlO11} z$L!oRHby%Q1hk^<)*5bMVthgzzD$*4TS9ORTgxeIk7r7hu2+m7V55tL$Ie6Mlflse zJ8sy4T{rGZPQH={T53<&-tLM5L(Q<{**~?2#Z!wxu4HT@gbO^n3ti04P2;isdyT!O z+aYbK;?0Z=6a7qwGp)`>!D&6~h$E-1EMZ&A#EFWUC5+;nF&v=~jfB>h? z!XvgTS2!o*!L}sc8Q(5&;;zEMG!5QT8|S}6P2g=;iWBcbZ>N3zo1e#!vuD&_DoHFq zB?sRmbFwO7@?+Wsa~5Enxf73#Goo}8Ei$9teon8X{FQ?X87K!74Ww_nAY)xern5{1VOymThs1&|&R-Ngooo=BZN z-nC;AVl=5@(@-WjrF=x31fyBK8;w}6%ttZcAQNiG=S#$PsFzp;vjS(45)7rFs6E-% zhi5?%&!6a_?@J7ojG05{noZb#!wx+5_`WpW4u0VPIvXZ1wRtKHHGcMq{d-OMDcl{v z*l-Iww{4GO*kf_AM6)@B$No6n#AnPEM;Kmz6+ZdEe-O?^Bg-1J!GYLX-^S11uoIo} z4)#2}CmyI=F;~n4zEGGA##@BERV3BPm6#s&cuU_1^ff4K8RU1hvPfsBMP*jTXLWcp z%1i-&vxntNDk9#z>dEw(V+Jz$D%eD>unx*>OpUkR3d^tPE8}%mo>*#5im^tqp9yc% zb{Nv*+Nr!Dnk*i4s zI|Y}*+u{}tct_xEOoF%C%F1OjZDzHd@fJOu4=S@=IKx|y20bb?-rV;B2V%cTcq7pO zLfne1<)e-S6PcgJifV@#aaFvF3~%V|Rx}uI%@pkrWxV-ZA!)4)VV-;kyu(?Oj5phP zx}S0zHjQsYXS@^I&XI#r$%b>^gp861RQAyf2Tvw`g}&@j_WRiThmRy$5?>VF=G+>0 z26#ItUg#uJ)j^DQ;kDpB$Y#s&o}Qb=k8hp~j<>Q5+ut&}LBZ+NXg1&ql1M-jd0+4% zby0xB>DUpPTyN2t=gV*=p>ts-#|vjtUTmSVO#+Xj%yq!*b2I3k=vGe040?)8pJM($ zcK;{QTHj7*>H)y~iFy3#7Z2gf&&|X$X%YD-81ncwGH3way^~!$argkP+dK*9i&#&j z+k1ptMjLR7Zgz?Q5FP8n@7uTSz@Z}t4IR0SUZlvYNkDZ zPRddl-)v{HOtOoxNhU+iaOGJaFWBe>UU9?oFH?2}kF|8Sw^WZi`> zI%@E@N7`r&w?jWC*@_0lMPDMM%RHP*bEv)h_u=T=Y^vk*Z1j4(^|n?=!2Q4WF?7Z! zkUSe60F|K)-oc#tNQA{xix?Uj3YUF>(rwkfg?St}d8zo?~a8IvelZ*L(}lzqk%SaN_OXzaQJSZ9DJnI{7z`g8I*+xi&f(ogF_H zD~Ws!>CHMPQw>hCEp5Y>rkqh~o=xsBF6(=O7Np@_s|Xzcm$vXoL;z0`M47|n=WSp4 zL5SMpDo|P4(&|HEW_4np+LpCdhhg)z+nORid(FiR4nX(BISF)JHnGqs)IR*xtAMY6 zxe9-2ZD2;OB1n z`3lVyyyG(ti(WC_ASH+OYR*dVmIvG~`2cQ-OLE4rO6?zjw>4TR%SYM&uzimWKZg*h z;Ka}~la?BVn#you2r}Lvt(>Kc`?z&5l}DM3j_ng=ExN?$l^TOpQLlbwN0)v^&=`p; z41l7O-{t&Jk)qY!l5vHX=%DH1$uqm^g`VX6OvV7??F`;Rqquf#^{QU}PU;yO){a(y zcP(Yfh)K`fV7${rK=C}sn{SNFF_vEIjG>fcZriAyD#NOZx7ZhYGGR^_t-+i5Qrqv$ z7y#;=U0g4L&mnRVb~1SLDT%h1gtTMZ&e%EPqLqtABC%5si4wkGL1YulO^2c}go8&8 zVEWi}vTigFa=Zz$<&tqo@>F5tlr&9_GcGR;867!f$G3+|6LwPdR3&p2@6LvaP?n;b zb28m%48ax21%i?YL(N9G9IN#31oVAe1Sv~2nnURK`wCOiDjNhsS#l4``=+zPJ9YZ7 z^%jNb+3+q&9W}+U>~0OW(OTa|Z=s7PjvNG>3pZhM|5b}6x~$wj@ai-3)j5xm^<&uj zz7OEqtFOhi@7x%%6~|Th8{~)gxwb>X*6_mt?co--->@B@czmC2lQM(+GB%q@q$PL0 z2qg7u*`I!OBI}EIh@V{aWp%jLIoh5T31zJW*7;tsz(iH2v64$ARQ_5Xg3ZMJ>;_hD+w^;wJSY&K_XHi{mx-pL;JJhTVXb2FNYrmf4($#T36 zUt{>#P8*jnd(RZ1l)>B@>sxTW4h2GG}aV%kV>vy7kfdT-`mvBT4c%)HYsomSEC#+-57S zlis0#d)Ov>e&=KtBe94tT-+TO=jF{N@XmL!zyYIf+gaiNF2VcNt>wtA@fwgPmR@!)} zMMGa|alVt7mT(u=#qwz+@KxikKa&@`D zGu=vdE!VL23g1D>EOL}%U0;9o)q+`<*1l)@G8 z=!bTC59;?pjj%JI&Y>)Q zn1Dz8xi-f)9+Ozei6@Hh-Z(FTsm)XP(<2AbJK2Rp)kfEmz*eSL#~jQ%F#W>naI$&w z@y&VlSkLO=vCJ1UaLM*Oq}*1Jn`YT@XW!CVK=2O53f_9IV{Eph2O*#1n6=&Q{LRT& z7PUv(04R+YE+N?g9E}13s6cn2i|+CHbT;GO{d*O9YEGtPF7vK#PF9C^!jR;{#tofx zYquwY_ov_rhwh0kX6I(nJK4j`+zd`E%%gX*Yx?8;cTcERgd1wdhFj>yo17VM@OD>a zqw8M5-wRE{it!ekEn%q~uBtm!YfTI6dLAKqhV22iwwA&h&}Sk_O~%#+P-vO&gwE>t zKHE9j#Z3+|aHSzTrHF{ckw+R>paPL|hMjui;?u7pS_!#$s77OGmJxubp1&MM;1aOu zU8iu@FMV?*tUT+)I4 z&R228Wx!?Yf)j6zzSN@N!(h*U+WNj%G2bij=%=oL?gxMQ&9x{l51*yS<^2kf)o*?` zGx#7A3H_Xvu&jE!)EDweajHsv)|0l>-$?qJ^hRZrP!tY25=PO4l*hIi>bo{+wJ}cs zx+i+r{qJ_;_K(~pirod}A7oG*4MceTjW>e9UKC+9QW+k)?ACBAmIt`7w+aMc-~PRr zo_i+Bw|Fj3%zN7}+@XO308G!#;P!iNNsdg1aCq?cpWK15(W?T@K?I?*p@WI>jhLO| z!@HC4QAUx)Qzv~sp*UG`yrU3TbZgma@#e|F0K8pp5NW)fiR$69HQv?wn-9TTo8Zzvbv~J(#1-NAme+M^odA))xiZf6m`hxd*6Q(L-?QVu zr>VGB?gjYVrbMs8Sk7g$ZPPSY9BFENd-JZj76FU59TPx?H#t+U z&R>-`PJOAzJ8cJyJUlJDYqkMNucM64m5lGg{BH3U&iQ5VmUhUb#NaJL(a>Z$S2w=7 zAG=gC0l4GlaB%}~P4mP~k|3L%E8rT^2Uu=d}z*T7u3Ms%wxr%#|cjzb;->AW0D&co1v$PX9!Y#fb*?LWC42ah}f zUAopoWO!?(oSu;Dci7>W@_^E z?`)k?exhO^-jTnxcuPM{HoES2jFTRn&eEWx{OjcT+0V%?ZsLWrbW3eK;%fD3V9hCD zY;+O->!t<#zek4w$gx!CV_WUQUq6cetDncZHQ|=rW{6f8@Vm&1LU6ecY$X$Ar11u-{ZSil zNhmoe%au$S(x+LivU0Oqm=GryHA5^uv+b)(ni3X5!632|%p@39Wrq$Qz|7nJJ)hPOP^W?+5N(v0yA@~(<^0gE>flv2SX zB^qF!p!_^ppNsh|-XZa3x?Iufc5({QC}J#YOvI%S#wp*p$}3zp>WrHu2#5hIhIyhl zQI)$*Wb{ir6yDjG&++C`^U|HJUEyunY0oiSDc(|NT?F zW_>Sb*$u)wI#eRwaxRce8j5HGxLUj;8DvN?<4fO!e5QDZcGUBHIlQ&$I2dpBp20g? zd`ztjIc~rCb`{MMbQUv&2w_`VG%mwiMU1G3ZDEHNfZoX-_U_*ox5qM1?bu`L(oLky zFcMj6bPFurtgi%bnRs#OMY8!E3Y4KA8Ji92pmxi7f_27j-?lTM8tgztckDc_aBwK% zId)tM;2KSoG32w^aA-6eC>-$6AM67__{@Jjl={t8tv3GNwVQCmkAD!O!z1uz8J;Ak z9HMLt5iJ~v##|~QY^CCtgTvV97&_w{!%f|aTG?78kul3m4nvDjEbexw*qZoqsCCbtV9bObD8cmdCiL&fRc%v&Z;T3w3Pr4^O zV7fhk&iDj2j(70P+;kOh)Z-0k8+{Pf(l_+@CRerB^j|9r?0X`It*aunBfcafMoR|C zU1wuxImEy7t>Ge5>le@}l&eJ&IrHzr&B=~0bn(%L??q?4gVt~hy@ei5EX-p%_UDGv zH>;v%P9_EOSUa6Lnc;D*F~!@~3C%_U3J1~RbD#gu=}cyut%~>T+;ogG6=`X8k;Y`D zc+2HnIo{evuO!D3h8xa#ST@%889t{`FRbv^WX3yuwz?pV850y^>qvT!fN)_3qW*S< z=+l{#t<*-p*vS=Pj0`n{KJBjt`Xx}7z+xZxUpn8y3-jynLihY_t9|3u=h24cdrK^}?rf8~E^Sxf+o}hyzAmP@PL3t0l+n^ZC4aP@%r=l+LwL|myhnk54`KwPrK{~AAArGKKNkz ze&ywhxberkxc2H-apmQ}6_*9)9Xs$=4FN+JF>Lpr{LCu=2#@@yE7187k1x#w7H?~; z8NAa+tFrRRVE#Ut{tMH`rGJw#ERtg)I`EYENo5Zb4ufvG(tT8DOeC3Gd~8q71Y>Ml z??e~(KKxNkZrK_P?hO;B?A}5bpF4aoox{_J6G~F$xTw6Zf8X^WPk5t$3Txo7X6-uM z_wa5*7q7ryd-Vi1ZrBu1;E-qvH}`RCouM(@jCS8cyYYz!e&0xz-a;?6r%2qghWASG zmK99h3c-WhlAnsib>H#yJKq7hJ6g0vMd z806!d+Y=mc_P5i;J9m<#7f4Dx9lW{BTD*1n%d}CZLpdJ>ZwJ+12qA(b=HN>(Y@}Cu zwTgF53k>}keH^L6V~*5z3{HY~?%dQpYI(f5J&qq_$?_w z13qrkEp(#*z!7%;?(cvHL=|sY-Og>c#4pu0O}n&O`o8en_7jaaMVa>)$*O8!F=m0OpVP@YFLi z_<#P(0o-ui2l3&b*$!Wp@Sei)4<*nj9N>z$$f|Dr20OB)S(m@Ha?AB-tsjk1nHH~bBQW605--2}Dw@q9G@DI$5DHgd^^ikZ zG{RzN>Cx{mV(n^&HLF(PjYWblJ-iS9s0>@XohxF$E0pLF2eR{F>*e+vcHxeX{z}D0 zrwZPBiAN0>)pxc1qdA&nYc`luNool4_~r|LH1uhLnQ$p9X2bB)yXx646G;&*#o<4XZT-Lpkemg>y31QZ2QX9s?IArFnP( zP@Ide@d)RhQdkgw$N#j5pT53}hd=dw_|_{!c=5#-v17*$Y}>XCzx>O;eAaFF>u3Kp zzWLX`fy>rOKuxoGDQ%;*>0Hgp(sv{Oq)%P+Ia#8sUY4CnI;%)LGiX=X1_IK}usmSv zZhwLc`{w~`SaPVO&xD8d=2zQ^RF6d9Py$b=Ns2zUHaF7cE!*a=e`^))`lWAT>V2;+ z&xG@scz`zIHW~-H^rG?l^LXXO-@x>XkD~Q=ejP(=-+|$=_YW-V#TQ>pp}so$?)^W! zfDgX^BrabUw$EP1&b!r_$G>rL1;#)5neSk1)Z?Kqjwal+;j5<|OX1DG8y&`fl}(?n zdZ+3$O6A1*NDMfhu*+npt_`MERU)U{Ae1+A2c=627?orUEj3f`E_CCWNqf;6X@SUL zY@~&w$7Zv3#O;vTwnJWZn3jr=c84~usl zU+VCdXVq;2(!Z*c;2iH9!MX|ZV7zs2&hU%`e3~faR(fU3)Tm#D zH#-VyZ%ux3PYF z+a9eePbPB(b4uB$25%`@Q;}=E$P}kbl|D@1$w$2*4##*)UA4|rQ?_3g?HR{pGg;((Mj5A79iArwFu8du%DjlN7Kf2;I2Ui1 zM7*pdyx!-->KsrM4czk4JMrY~Gqwh!d_t?f-s|GuJoa(yKlB;=?r+|YvC($8@mABw zQEtg$E}ACw3)ROu8#?I32=c@QqkHW5=8x^+HaZ(7&>C)`vtc5fn;7eMif(}7)^?!u zGA4?mz^c`2P`DU@70<$3T8)u(O(z4rNZv{in=&==W+bGoAB!Ez;ner z;D+9Tp*8Qo+uQHMSB@RWAO7)|lhf|X%NOyzS1jWFKm01*`Ht7{t{;3AYu7;jtdj%RFjx*+xy0M5wKWUKF?j1T z>+r6#%r)NCG6p3z2GscGc7|tF$7XeWD>5p0JB3%)qS&_z7qq4~lf>M#QBNqtyRu=` zL2s(!{*=Z=B%*E`gXMaZD;Z-o-qneZdR2{YxU85Z@YbJP4r)ys^lwXBV-(K!yk-Jr z2)zihg@Vp^g-hqIiJlAf6mdn5pD}Iw9)DCgkj}wd+GZ>GMrm4^g3r&L`D ze&kNf%uQqF*fhEeUChRV|MNu-AVl0faQFQf8@(zy(GuQ}b~Ic#6v>zMbURl>ohG(R zquIcz#Zy>a6fAJiXf#1Y==b|sJ&`pr%8`iReb{Eq7i1*50r+?u?DlQDa4;^mxf;AH za)f2E)My~8+H3Nhpk$mH-+=VJygoUCLl^4QMI*Ltx-Io$Aji-kWh3WmY&KJ-Hqqic zfdFk+p~9^<^PDUdtKW@ya~C}RQV&;+j)6PCGk-1)`#QXHo2|Fd#lgb|AdcK>zp<^! zOH)s^&2}0#y0vR4RTKU8ruKSyPGQ?NT(0~Kp2@)xankL|@n&tNZ3n{x)~DxrPIfU9 zA8(aNcH+bviWgn1UbTp;Mo;2LI^V)WpZY$${OvQ3%KMAie~lA=@f%pT7P$PfFgNE5 zEiSFgENse~^k$rs5x2!<@@R?ND@|vyoi-aUNfCPy8s=U*Da(_dYScmJK&YMx&BWFqo1C*IId zxOn2Sb-?RyJdZa{J&#i_11~N-ip%@J_DSISA0EQ++ZK($Srxa*u3i;Bua0H0^N#D_ zgFP>W^q-%+!t~X8(I0!6i{bssc+r!ZrG}VH&Sh;@D=g^#p30W#L6~g1q=WgHoZlj= z6r>8Rny#+qQ+Ujip{hO=gN?Fsa|d2N?(O&vIczjW9IJ86^-j!V&qKRYP#9Tc@y?SmN|<-buuYDg0eAy7ctZ}_ z)_qgUOy;Xb9+l@=6b$h=R7Q>C-v^w%mFz#=LtzZc+^{nFVcey_^Y??cfe?RTb) z(%E4Wmu_35@MbKeD%E@ACxf@;pq^TD#B;swu)TFDy!EFYH|z*Eqo(G^?VvHjt0`k3N-oropSGVF%e)p4+_Bds5!HE_6uLt5SkIkYHwz0Axi$Z}27+F7xsUMxfbw4_Z z;AjK7C+9Ky>@9GxslwbUB1AwB*C- zeJ=L35CIzKW;+EbFNM63Zgymb`WzZ@R>^d?hR1N*hwsAuAHOHzEppf;DNgAV(qv69 z80qn7Q#SG)Lc|94YSJyRI$_ZGwvo&1Xm-j8=S)9Fy$)MHdla8|d@oiyC(Bc^(J71t z2f}?1@4@}Q_A#`E+vqOL<4|(QF{MR1FSgD`7p-KuBX&n_qg#1iwb4nlOrmz&3h{P8 zKHsUH4NK|FT5gLh4CE*yj1A?auhZc`)n-dJx)XiQ=i6O~IoYL<^buF=#A_7sxT!b~ z4^Fp5+_LKhJp0vkc?9ChGxbFl?bk!m8OY&%&&@Hs2qC>YHO zZ2&5PkPUr3F_&Mp@hq$UeAsJU-dxazJh9Ad`=K*$ii-NolUH6cPCQP|g)L!4{1?J_ zg9EN#hOMyuGXCp_ohIG`f2$oFc!i6XQxxIvkh-Rt56LXp%a2P>P#pc*T4f^Du+DR zwM!e4RnyJZ1q9(&K!kBMYM{yoJEhgH%A{{*ZZ@M{H;ei0Ra*w*oxYK(Ba0ZxjSTZS z2{_Rh4111uUPhuf!<*^Xj>bCv#_5rHUVVp+KQfVO6en9+E?4@MEz~629#XHkGs2sn z24hpU9N8LW>XD|*k(R==fl_IM#LytTH9u-~Ya}3@gXBVMmc!dl$}Ck}Zo(nyLPFhj z3e`ic?P%fcK6QAnIH|s&FQoCB_dqblnYJbHw%S&nE`>L{wM3iC-y%L6G&U;ZEJa5% z-iFkaXV5r3Q@rc>V(HZUuy}_S+2~A%$t{!UjCW9{lh|+Nir`?;(cEcFyHHNh(*+*e zzqbZ&q0Knt_-w9a>8hts=+eVLYcY}OdV*g#ku+1Ar*QktcfvV2(~6?L4HqsI6}nTc z&PM!@BP=eSLW7z~Ca_>}E<|#(G)cTp1xO91?<&DLf4qm!{I^5+-~Pc=qAQf`z=%^E z{N9&n4h?}CVT-C_O_UGZ142J+4Ugfv%`Fr~fvxY|ipee4$ndH&{2k=eCpeR=ghoPaNh(9~yRBtDxkrEqRs@FO02`s+}+&YkQo^h_C2qVNd>?AW$5^wVoL zp|=oj*gbk|8V3&_tZ9q2(RGPA-dw)Mn^tP0E4*{vS=Ey++UTUt`4epTom2|h{MvCL zIy_&jQh3LL^c-ACG*xajFSu>-%jwL?E|tU%G}1XxTu%jTywH$JAxY{`uA+1X`X55X>NL_<$}t46>~H2*~u_wv5>#3C*%6|C+%R9 z+JWhl`{+-Le)Y504yaMwtHIo9!54p5>lfj$qUP<}-7gAY9Zz@d|6d3o-s-og? zpeM_D-_qq(4N^N|mVzK)DQen*;2jVS6s~z!wJrVb?3TIem=)#AJRj65YcP=F5T8p$ z@o-p&aCsnMYRe=J9XU9Fz+ik!y?`}5HQsj3kn6VCE`xVQUczQMyj45oS6xO`w#alz z^D~bg)@_WkHD*rRG@>A6Ws}oXEQ5DOvdJInsFU`(j(WUv2Me2anx0%a$_kqvtGX}C zsf zWfWPw0hgT2T#Fu`NpJ9H=Z#al0L87TLB_gREm1w)Rc?kc=!)3JqKKD%7()^uhd(`X z0KF4k8=dCTfAVAAp)wozq5rrSAN;XNiGpxpeV*1whPn8el_ANHhQkZ0oHLm;j8Pp)&87dO(Dzt z(B|P(k?F=}tJ(-~NH@U`DhHL{Wn8diESSI;&6pgTRJB#=66>Bp=gaC}M2A~hTGdg) zu+y27g_~f3sm+rpiUJcG!i~g4+}Bv7aXEYwc5pmX-=ioT+9PcvW4Lc@9Rn6k%0|hw|IQE!=kVo!EHwM(~!q(e*7{w|Nqi zTc&W+jXUwrfBP2n7P>V((Bfh_s_KmkFh#-}sM_etHewx)mEbMHOyiwD&08m*ma^GI zp4Vx-yoU2VC%Y7r4yb8T2!a_ZgX3-Onh->@82|PA!07r#TvILv863NAasoCas75>O z_;CVXp>ylhTEtx-r{ zV&`35KT5&?(6-?vEgS&gsjse!pGX;lSQc**t2QMOG=jG=(44#{or9vOBf0Z~zr*{= zi5v}$q#(%@sDuic->MNUHW46)!=|>z@k(||73irqG;=jw9kXn@Qlc%Cuk+|>AXJ%@ zRx7#-J!O=K|2tQ2L}z>>X6I&WL^)EeT)xN*GSB(#WM*b~i?N_Zc8y`re9XpyaI$LD zu<;^YL==bAR8;{v-h=2jIdy~q1aCc==2G%4&@310@U}9R%)2?>b>^Y%XZrX0_XsS9 zEv@D)^+zM1t@cDW9GjiarZ?%>o4wRj@HdlW^HBFFSp zUy77yN>@a}$o7rdxf!X?O#DS(nzkCTArI$|_we+WXYlSH>Qp2;J7dG5Xak-+3M#N_ zXbAnXMAO-r)n1pN8W_i%0idZa@3J=l-EUHYF#=p z-oc)`?gKd_haWM2YUqXoiiT{l9cnh=J)!LPaqW1B#yWb8&$6wv(L+O-wsiKZJTYUu z*5<1n--I%9gPmrBZMIksW&BX%sb2PlU-h=JFyiv@B$y{T$LB?6eJ#gZT2$qRC7;Ll z`J0nnw|Nq`fB3eT7szj`k%y6OqdGB%b+398HWPkPK z*FmTH_!fY#eGM2|vw(lJa~MbeVojt~)4`FR4m)nxj-5B|LU*By$M)})$hrvdeRti5 z*2q=ijAn$hD-%*g;Y`Y3yB|My%g@(vnwGH9E#4+)tb@;8v{Ks2T{|M{q_F0d#T%55 zm^!@U|4KRLwvM>AFO_>GPXcd!3 z^zrsw{awHJ-H^_7PL}tGK{gxA+mbisbG8-rHhRuXTRXSAN?UdGnW35foHX`in9}dVd}cvhsh#2 z0C@P|`XxAW>7-m3aD-m7=ARDBEtCMd)YE65yfWe~3TGKVeoECdm#TPc7TEqF{ZQ&% zYLKf)qhv%Ql~E_k0NW4Y>c^T%QM#vF|I$_z`wG*a&M6tNeQHpBTy}DlyL>#^C>y5H z$MH?%aQtK!Gjr2n1)o=E9dO5o@4)sOwp&iBFDzFy@3U8GiAa+GXWw29Z;?B)ML^?S zF*V5=EE378C-Ih7SyRpX`e7{|&dSe#cQs}?^#>>Ot~#F8(gX*hVhOw@Z+aij;2mU& zV5RxT20dj3Itbn%F(H>62aeII@>O(@9cO^>q=L7z=C3^>~N2PHdRKb(<$+#F<7GkgbhTHzV}SaKVL7jw0@# zg#-3PM^BxB=}fES8RJcG2Jhi7Gg zvP>h;`x3YQ!tG0pZ>K)h>vv-tLC1M$Qf=?kW8U=d8Ubkdk;b?#tty8#1^H*`?^@z8 z@OJ)FM{_;i4moEo^qj0UJc>I$e7pI*U-nU!{nRw?eR7PMxdl};874PR3cZG|klI&X zgW>NTHMA|h-p7}pdZpH;XKqYwnZ%B5y8r-V!)@%?w$qe;+b`UK_Q+Lmsg1UuI|q1A zXsvJI_7C5oIjf4P4ni&eHQrvyP6z8a(|N6pUPZS4*N~TGx|DC9>v2%E(J|0w*mY~dF;|zZ3l~&eaXE11<>Bw;mxX_qtqa?5 z*Xm0pziYP7E|X&0mz$FjRyZefm&TmT)SC;-vq)!gCSKJO$|-dq2Xi1M&9Nr!~@m$;v%6*{W#nRznfh^&xMrlk2r@ zgmRSC1PFXkJ5}%&U7_C|oH$BGj82R9N@TN+ih$#J-|6A4Uo*~2S9zTB>i%r-R(~ws zb?2Aa2I#si-nJiB&p1*>q2fB3Z>mSfv6{AnQ#D$G+mR-MPFBM6{wnlKOQnBHN|!va z{yj~+DgH-_uT`_cj(El;Y^SIKY9^vf;9XB|o~+?ri+5~y(yozh`er>{55G_uOPnuz zqWh$##Nds=cyk}q2GyWNh+IzQZ>8}KfNw{wPId-OodknazhlF6C3p+kJGSi%hNx>u zj_3$U+r5*Q+jfGoEW<6N(UUZDEZlU;lfpW@jjoFkV1hTrwr~fO(V6S5#5=>8D&CfF z;dujPu+Il}3hKkK*lB;~>t=+Z! z0ACB_}@E^WPFbF%M}Bu*py`;yx$-FH9TI=$KFWCT}#mTB4vcjl>i zcG>F_RX*6(C*j~k(J(}Opl-fWQeVCs2#g-&9DS#SP_{eE)}!rHstQcqEws{g2wQ4D z^W>HIi68z}O{?BY7b<<`OW#x5cR1y0l1Rq;$|kvzpVTwGLPU;uheOQd@=Vcal6G=v zKRcR(BU!hATWD!yvRwl5(=3nQJ_wd;Mz4+OGxZO;)2*Akt7Vf+Ae!v087rHofW24o*7iq7H95 zO}z8AhYxmQImkrQx3mo?sroz{XSU?Lp9C6sJX^dG$29S4QjVDcBI%C2O*T83It`zk z{g{`dwU0K0EgiN=mJ(Dug{na&Wa13fhKVx`i{qmPZ>wiDyjIQ*GSoc^@VQ^M-OJz& zm!eMVds3ZNw{nJ`aWqnT(?QXR3~xmfsVR=JYoyM4T@!wr+&qPAukL_nOsVf^!=Jb( z#2#ym#}NHhgqt6*`=Q-6b!m#7{j16{Y)an=j;!vhbLKJLfbDX1axE;x6q!sBo{*v& zfJ_KEk{BEUI`lo>CY$BRtgb*Ed=7w*0Q9 zCI4K<1809gF8K23WOD>vp5rPiA3^_Hd&ddB%}!H0MGbD z<@LzPQj-Z)RZe5o!qSe1|Y7lBLdUpxV!hJK10*m7nE+ zzH#)CPd|cw>9IYo$cmLId~1!2VQR}(Jn_YY!SGUssy5^WD-qI+cj2m+c3A%Bl1OmS zTi*!Lu*tVD8fC)fu{DhCYPXnAX`&a)D@;zQyYe|!o~sBwA+asjc(Vjv+LBlrZ&dnD zj<=TQiCVJ!pc+aO|6P8YUd|0s${=;vzG{n&kxG}uRmKUM*H$NV>69iTP_A zOyTy#P+dLVTt-BAiN3Kk-g!UO<4B_Ybi^D~$yL0o<52g&d_1sh4pDfK-UwvaE-P{e zj-z;rRBq6F4t&vrCyzr%4&nI8=nzpdDz#rL71cuPz}Hl`+VP#sfm}Q3(rho5Hzlgw zgQ!UT*L$j=TRM4?nTc+KD_HkXxFEuX=RAfS02D4>azSVhx1tk|>xly>8QfW_;TtFK z38zk-LZi_HMft-rBwaqDIAzL<+knEBR82RkK|dw2}4aA=LR%`O&cETBLK5uqePd1?{OrcVwaJ{z=_ZU^Z2 zaOZ+Uar?HNxbNZJsbAIE=vrPaWuxrb`vYF29oUFId!)?27Lub5`qBv*gW-vQ99mhAN zEuGqF&)g5^Q#xa-ZXE9b*k@?xT;G26IF8KziD}`c&EJb}(v?}sLet3bn3NJ7jE4>% zNO*TPOo-DK;mpUGV>2LxXuRM1!$;8>@4&@K-U8u_$S=>$D7=*vq})Qub-2~4ReL7< zX7Sc?oI6T2*8md%rYFSy9Bg7+e{QpdoKk{*3zb|5c2Z`$Xia%;=45ZB@3!rE=!wW_S+@JW1`03m+_fwUceBo}%%Vc}gy0MPV98lA1-Oaf~|5 z9Be#SuAp^YT6>T|2c+BPOpbcKaNm8tq`h_oIc^+yLS&tHx}8}^ zQZG3nds)20J6^Si3|#|qWxcy<_G_+aP631Pt~xvIrl4FF)Q|64ydm;Lr2^IQnvZX-E2}j~pO$SkPW8c9Vv0Zu5r!esjp>ejA*LH}@xlv5 zobWDgO)cO67o2|Wkv3-MGEV84a%DV32I^3)Sl&fuqKJ=_LS zh*oTmw4#%v0{|F{QGH>X?HC9ii;JOCX*zSd6YcRbk|J)e^qw%ec?yRPAH;OL%&N|2 ziy~6d&EM>)U#CpJuA@8ge! z=L=md_WLND$oLT98u^fk``igu#e($<#d)>Kj=?%yWZ9c_PL?t|^MbaM&LlN+WxCRovNbGu4S5O806(Jr&WQjR>7HH%c9XBkwII-o;5D zKlru?i1fX>zG8c*?Vn_juX|r|4N@DauRAdsifq!83mlyJoDTv-m~%Vp$c|*pxU4_eguF9(*VIaUBsW0_`+3N2f*HrB`yE>Ta~6W$R^wr~V*%M<71d6k|TyoJ?iLxwF6duk2_dRs4l|hOl#N%7xE%asXWtY2~b88Fcui&lS z^L2cX9?gBvAx7y$nNk$tpO17WXIJ4cG}J`l92O(p5U0ic zcRhfK82RrxS`N`MP@!A&uZwc8Xf)Ai0JPZ0_H8>bea~&Gjd|bEt+w*2ZhUKrRh8#b zx*YmXfI7>vI!T17ePB|?jdk8uWtV6xF4u9^0dlj-8~Z+#&F0Me=W|YGYm=O^IJJ^F zS-8=5sM$cjEYT<$N!AXUlMStHV%6G4lKpk#fLp@n-hl{ZKWukz54TJYN%jd*xqCe~xeK}CzI zyv(_Dxj}{Ad}1rYjg1eH5khrup@+vF--joT9Ew|m9oi!;fIJ?1e6Qkpy(6QFH_IJ8 z4IrpZT3LSy<+g|0xZ~zKFmcT$IOi~bVje_xM z)4XfuZH#&{C~(6P!8`v|sc1u~xqUac9kT7`b$Dx&Gj}CA#g|lc_XnJI`N(H-I~T@$MLT7jf}~#c~mA)-=#)HsQU;q9=eTIky^xLnAgQ zIJ*Lm^rc0~#&K-8#_DUvcc6tMgecv9>!ZhMFy59o`us=DD}G12h->kVvTyp?89edD zL-?T|ycVtXtw49fq0tBthz>xbC_xez7jiQqgJ!ZML!1Yyw0Ab`j#y!$8`4Xhd(3V0KxZM8)s;C=jL z7r*zZf1jGSYuiqA(;0Gz+hT?H^Cx=AX_3oES?m#uHaJpOW}|Do?|pa=e*gdcgP>cz zWK2sF(b3_GBIs;B^U?#0h!;r4$>C~ zjc@(7mzLK^*m`p)mriw4B(bY(WG`zaIo_fwi|h3qkMF8IG1yMf_EwCXUjg2Exu-QJ zbMh>wg5PZox3KHRUHDtAF*J$-AVRY!F#Ftet!}C|sb2r2@9O87xfyh>-U#x9e!s7z zUWl~oo$O(BeJfEpIxIUsCN@l4BpV%ZyS@p)(RkC#Rb(KDTsRWwn} zj!RRaG2t)7oGedj9&(l)_?%y*s)deKJR+0=>w~|)aI6@4Qb6$*z?`<4U(^841xbLN z28L=2@O4otVbK*N6xmh!rTOjvbYE)X>94NEyWfh&yP)Z_|NV;aLFpaFybisDcQOR) zL;{(2E6G95uUf|Oo34aUeb5?|I%zfTP~Y#gf`hZ=r*?;B|41Hz$`aiZ!MLA4F^`Xb z>i4i%dhFb`L+l&pFfl%XXO2xvyNn*U5kj`jJietBY30=UnnP|yD9V^bit=G*^2EKn z(8K)UgUJZV52ev~*Bf_{UuGMEaC8vf4uoAlbyJ80j6C%OwAP1J+wC{*!sL6m;@*dL zVnLR?Rqq4l~0p$H74UWzTZ0Q`ci1fQ{o5*z~SN9=;@; zD*JfDdo&si6!8o;fUuX(H_h?R1!QINXlXo1c$Gm zn8!W8`%wVlthSBg9TYAc7tvZjicQySijivsQ-C^tfwy)N2(AbYz_2~pMSc?Cf!lAs z6Sw}(ui~YbPsB!0DyQ-{2M`W7|MYhJ-FJ0@bBbc*oD&nn#r*ucE23m81Al#t99&%N z!R}SNS2r64=8w!GE(i|uCwi$zbk)TZjCXgTivxf5Ic$C3^=a^sqPr*}-Ohmu2b72o zj6ipPaS`Nw8q>Fb_%?j#whx&;R>bzYRJWCNgU5Gu93;K!_^&L+_=?SDOOns|r#i`? zwZ5@NK+rct=Q5&NQdJ%+x@!b>t^8FjVw6!INk=)sLUxOhWyYkfG6aqs?p7#nV5 zY@{8XT~+Ek;~N8a3kP3@e$Hbv*JzA`!6V8z-)fz~|S7cj8N9qb0V!|E>A>#Ym5S>IxIM z1_E~e<8K4Vq~ICM$z-hX__lB4>1^g?RjH;uMsePi53^9jXUy@MNXB%`BXLoRwVB5>_NzPi}bnzyCoC`~T(h zHFds~&PRIst84MuC$9wG)+dluhpBuL!?QB>vx$T)L;XT33t5#dN;zI{#^B-!)V-6v)b=2M za>1ibQOm`AEQ6SK`L%9*Yw>5txA4`tgi=IFy}7Jm9BdBT7sD!~b~J|4cWm2x)Bo3>gxRrtn67V#JR zP}-H7rdfR{LM|USHF&G-N}3*C4c7A2#R$QC%xG-S9&c+P=f<)ld+`DDoufeWB>h^P zn?j@Lvy~*xpX5YIw{$8&Q%mZZ;jLfH$9&T66}+pH5GhLV21!{7Z&K1^g?Q)VVhJWF zI`U~1pSLKc7`IWsrDb|PiFe3<%kj3xXkB~n_{d#YHPl4kdz91%$cOXM;LtBU;7hoo z2#2s!a55B8CJ_Pf1WzU49RB3L9>jEwvu99;v-oM-$VHhaPuOOY_n~;FYSc$a)Zwl3 zrNgI}!aF#fx^Wxlfy0MTAY4e%9&Y2lfAN2WGSkr!NX{}}`sAx5@8x)!IKyzxfqb}O z^4_~YhM&0kLo(>{ZM4#8MJw>`cWuN+eufvsLi8L8Yo>dIG2j6-2pDQK;T@qwc)utL zxQ4@1Uw#_5-E)iKC6r#&8W(VA4YvYE6Ww78v5(t}!&vtq541;GD18{U?a`}1K4__H zNsa|@aSL&u2o2>71VXfD!ZdU|^iKASgy${a+}=bt5gKr44mCjzC=u{*1yB@C^h=Mj zUjp%*$Fb3?u>FP|=>~eOiL|rbo^`gAjjkf=w9Q6J*Fa>N?yI^aZL?L!cfI~KxaB)2 zBEEUcEBUfd<~jE}s@8rX=VT(ArG)lK8)Ktm=!{RGwZ4VUh7QI?#!z~S+pb-j?6a-v z_{`=aY?q&Y_Nc8uwa#));|-BBH8%Rp+%%@POo`k;xEZ`P+{X3q-KzKsfIMdBrV|f) z3-d8Twus-ma~sikZ- z-6Y#j2F=N=bg;Uiq8l^32hGVGfCHaji%0&`6%uJpz@~SdddrD-kW6IMeC0Q7BG%<+#hV*TI(;HWVxTDCiw0byf$QJ<0etd-|B!C1?Jjh2 z>+jrx>1U_$^%Gq@`bUrAz!wgNGM$XHx|ADCS~aJjh*(B-d{@iK4RQTGM`ER4Gs8vm zNn_5RxDJ<%Z(R;=ow0Zmc5K^;vJ3}|yFyMVm$2wyh>orw#rADGaov`!%ivu#vF*42 zpS`z_j_bJY1b_E+gOZ%YwnzZopgm{*m`w;sCK|NkJ-ZPp?_^AXinD8x&Pgm=1ZYQ+ z6Hk)0D2kNqO!kbESfWUMBxhqMq8tmLCUz#VDDPM?8uI2tq|cr+T1fz9i&Q@5%x2a? zHv!5SpN%LI=y&&zs$2I~z1JW}O0svtI)_Aezk2m?f4AgTu}Wg|t(ihB@$yAZq~vc31^IK^8q zOSe9!bSRVQK^7%=7q>V|`}Cj1JG1YGR+)BiQMV+$Ea(dRo6tYS`~BCA;jKUNHk^6& zRd8n+(M+72<3w($bw5;qECW?4s8p(`RI13R;+`?%556K!4}-30aCf}pD?o&%cG9L# zE)8#Khelp#Ui}+K!KM-e1hh}LFn4kmb1yz0$i}h-6+lM*atp&Ad56*ImbGh5Y{Aob%w=lk;bpbA)sK zOx+o|`9QsQ0QJ5B)cfkF_tq7?wBI@7%-ORz^XjYU_^3O}Smd{eOVlQ^3|rrK1M0nX z<(_Da>gy_HRXf<)gNPvQQ%AHc+p2^TfmR}Z&E%a(Wmk*%6#?g)W}?=51_ zJ-3Ib(TkarN#4{(pFJ^)*5Z6vm}Sl}&{xN{En`YSc6^=@VfyGysB7lv44!|{ZM|=u zZsWis_eHWxZS*19K4s4?P-Nrt#7H-6?GSOFk|IT$BM z4LqyL5!G2#%yHT2^~PyetvCtqvxZ26-Pw2pYnLvckM{U5GKF+C?=0QiuPg)xBFFp5me;kgu^xyGj2lrlJOlzgE2^L zBO`?i9YJac+7&|gEjV%1^a#cdI9;VVAKoqksyMkU8cFib*p_W>0_L~x$O#S8Pfw%Y zuPE!HhI?oH#tF?Fk|ZCerV3~}3K ze6NIe2p1~TwWiJbH^Cb*eeAozh!Vrs)7PF7sK$LlomJpnvYvikymRPnjrMHCB0FkH zY<>X<8RxM<2$UdY?T8Y*N&b}JO;MJpMOl)kjQX)lL-D@z*1aKW%5C!mB&t<>z#djG zy9kv^rGlPn6?rZfIslwArXHWf%cojc-xqVQ7|f;P8@9jZ9m)%MceCHhxlNx_L~*5= zOh%m@SHzp3(NKxh6y*d4Vd}^v?jIU)PAuQi<>h5m{B5scJ1_z4g;)mmG{aJ|MIDFJTizzl#HO* zjaJHr^6q(t;16O>Hnw>b+pgc{O7k9e7tJdeQ)n9l$UE+Y<~3KW0XWBZ+b?6!=RW1P zach6e^|vKJaZYBrQ1Cvr*us5>_T%7P4~3F)pEu}Y-`Lp`vp9ZoF4WLE-NGIB>_&fY z-JC&bbU2seB`uBHu62d+8uF!fhJVj@S8en%TSD+wE)u=!zT5GX&Yp@|Txkb`ebGjj z`rqt1SxhKn4_!__uoT5+lKs{Fu(~-JqYQ6n6Iq$AxdZ2v*g9o4`WrDP3pH6;z$o<_ zTxh!Z?X_TgEVZVm3NsCpHjei;7i%xbqtGrRBgq)5Nzb!n1sdUyWxJMD zhPN?@QoJdq6vJQKD1A!swzA#wERs)(t?yG9HwJI30Sh{(8{Rr`BiObXqavnKC?jm= zj3OkYCgoFA)A9H&E0((a9TZB_BY|&61Zt#X%fUsvY%$(t z3oKQI5Gt5qALw zcQ{1EHIIJ7&{(=6GE5&>-3Yj-`qp9#b2yHfqfKPwwg-cOVNa8hp@wtvT{EI%*bO)X z6e&gofHE-a8I2l?E@bij_T{lJg9vUbE^Cyd;28SNFit#goA{#LX1*Nx(h^30YAe3+ z7f)jL#B9JjS}ZI{I;u6Li;nhC1JyP0__i`#$j9rW51vX8ZSZ^oP~^n<+pM1j|t0nQ!fA+pmqJOePlIFI@Eck%7N`3{bs zoW<;kS%03Mw*7+e_A*vuQpy10&{gJ8+8j z+UqqZ(}s1m7%wVSyESlisrYgE!{bl(z{Y>cRMT*fM}M9_KTt}(a?2kv?RAYgpQF6{p8PlYo&?!4!A z?779=stO`hdMW@I1O4lC%)K;+ zr~ElZ5Q9s5XZm7GHV(*yu4>F^v_Y!WWqfO^wM^}YHBe%@7x7lNf-~Zru~qM@Bky?W zVYRO4SskZL~ zgr3M@@f@NRW4D^psf$YCBHyQUOz|euI&F zr~b~7Q!!afSJR#MiAV2WfgaWZJy`I~nGTMqA3M#ZwzK2hqreC@>+j-QM8p|qg$PL0^ zcIT?X>9w30oz60PsH)BkW;FeA7AiTF2I8#G#X;!lXx-Fyp|9;PvYPP=d(ETML59_O z>!|nE(BE4_V?zV={sA-w8$p&55yBs$|7vTR!P5LsAp%o2)s^b8P%Q& zGNOQZ&g|&qx7APvRG5HD1$mxh?``|=(c5o|XrrmjMlb4`)Mg`+anOByt1c}`sSr0` zL)~oJ70FIN+7dlWrZ2iUQ;_V*__h)|Ny*Pe`|i@`WPn>R3UZbJV#ds|8Qgs1xLcF} zqmoqsa(u~zU^mX4n8oagIny_e=BoxW098flcRu8W)(T>rC&q|B*qnd&8m5dRQg+sH2 z?D1+@ysDtT7Yzri#M0j#2h4x32fz818ouz!?_yx>QYqOkrwdEZ{q0qFq`5A9x9UZb zJDAl?Y_fp#h2m`$4Z>umED7FLsHBHc6T&pcwbApTKjzvvq*Knf>Pz`pMhO7iam!xU zmpn)R+I}3o`+n@a&g~PJ*uD$POUtPC^q|8Xj9jw`jiC|DotQJV zY3;SXIz~5d!_FPM{FW`(xO#65d7k6_n`;=|vJH))O?d2yNwgQ|qoL>UQA!G>yU{R% zlNsfPx|C<>;%N!Dda8on8u5vGC@r5Oyd||4=7Z6dc?ZUxTkgc2_wIHVfPgUbttLjd zjA3|i*iQ&Mn0s+HF^A+vZUhfY~f2=x5&Sh@J`DE#K_`^E_Pe@h2w4B)z0egHoVk4F6&SD9Bs?c z@m+Yyv}EV@2{3?4mLc!tpsa#re;%15H~UK&oRcIY@{udbubeZO7{^b};rNTs7vyW% zIF-*4-mc?d?vA(0j&y9eHl;F8a@(_Qk}T)N+kNLKnkzQ`5yoosXcOZf`dB2+ik(;c zQ?~fIHUd@ez=M~aPyTrm(LsqY$hG9M-Pq{fVoUzFU9Fw}?9b}_#|Ea|mPMw}delo+%v8RIWsI9A` z)>lKVw~mcJ;hcK}ps`^X{`RX_{*ks8SecbP+9 zo;n(8w6x;w-pScr9g#&*d0eP2NCRsm6!emU|GLh}AZ?}eX8=HZv4x#`Zgw|G_tu<@ zIyo1L61rqYPrcD|GJs0)o;ljY%+V$e9@>xo-Z~Z*TOlGpBSuGLdMVzjTULX&$UJpc zIvVC6ch026JDl}qY!q;WcdGO3=&&|hX4-DM5FF{qg3Vcmw{2@=LnmS=*6c2wZ%$^@ z>oF$_(WRHu4=h=oke*MN+H9+xlTrAuq&zYuTODQJHFZRDGIK=5>oq4UG}B)g1!gdH zo8hi5UE1xm1dWe3qezFP`&~l83xYq<3D$^{iK85_qSK!m%s2%09J3Pr5Y3{ zAsmp-gB$=^{D^IDBLh1APM+d*2Q2>=6Rorj@kc&d|=lxbxP1Xbf)h zZPkEihMRy?GS|`@-gg7ueeEXf|NVP#{Nyas6s=5}@txKirua6z+8BHkp`v&*$@wm+ zTSh4uglZwmOAW8|o#wG7cJA0^+!%~n-+CPU^h20A+Qi`}CP82vd}Ke~|5KxA3^g!& z@&(Ksn~wO+w!zWCxWc#_-eUMMH2TF9WN2b3Vh9>Vk} zcLPbeT^*`LyoH`%f4PhF$CD&h>Mn0+qi2ikJa`Ko(}=oa|C5#Cvhi&-RkD>0(Wkil zzRMO|6<;_&_Nf>$;Wjj(Lm$RKjTMf_F-jRpD)EV{0|`0#?Rbau*Dy zAk$+hP-x96@HP}H!P`V17@I?!t7)%Oyrm4W*Mb~N-W$25fst$9?S9O2R4bV?t9*ej z;LiP)Gn}(7BV^v87xaO541MWOzhrLGEK(@k0Lv@j&0X*g#W8GLlE?w^g$dq)HlZ(y zcbV)8cqe>OfuGzmt%$cc7h8+&l8eURh!^u_!Y5;joYs>YSQ!keR8WOF+A3AT6Vrd@ z9eAO^rRm|XOyXz$#VABa%9(s&tT7|+08}MVgy+x=b`3tjLY-?0mut{K7da>v^;gg(C=^3-Ar?N?4YhZ+IRr>9X{S3|AW{Tt{T z2yGCbCxg++T_hr;-<+eu#eP>ZLe3R1fKJY+5P&R$EFuvzC<7)wvIATuQ)O=1?j)W`lR0%5)SRgMh(1{oRb#*L_ar z^Qp6m3Pqk;Y+>eDJGAA8VNMp~t=5R7_F~)UW%pZ*H!a1sP zOp1ACMb3rH$x^)anHk?+W}MGPkNHj(X(%vOEX`DI6y{{UUBPxS9IjTjd1Yeh`p5=O_-DO0c<(y z#xi|oVCGm8o37d9#ts4C47)zG3m^FD58zXu{WY`}THauCWp_A7rRt{f?ZsA7zpL-Q zyMG76gCpL^^?ma+6ImqnWf|d}^>zx^q+PrGeA&hSx(hfEG+d<$(yhDIi1YFR`9H!Jqr3oRUe{88-Ou`B9#y>9@! zKC}y2MtJnAkD|5E#$#VQjKg1h%;W9Ajbx3-`{o;Wq261=@t5Y%Jlc%$POUY+I%Fqs zw#+b{wz9EcxS{a9%t5164U*V63Z!rX%QX!pap3kWpU80w4igBgxWicSy23hdBd3}F zoJ#~(s)LfTLZM#wxI#HPY#i29q?4{Hvs%=4doQ#f*8F4;DyBav-s04dTDpyIX;=|& z9S0=sjPRBo$0f#i!?Xa9vj^3w;hZJXZN(b_@AMW?p-YT6oA$*H$Mp8N6z_xzA&+&Y ziTo{_OiI+D;GMI^kU(B7u@fxbL5G{Dy1WGM3~r&Jvm)NUmEI6Y`?&A6yV29rgFNqq z=%q?VddYx{gAB+RAh>O_Sw`q|JT1LUYChe>+==IlddlD(`fhEN1aGz37!`}RTDs1$ zYF5s5k{#=&=m-QO-v}s~czV1!##_dMIw!SznZcV#&Qr=T`{MH$-p~kj2Pd`HSFUW) zD;(OCk-N>%-9TQ!7ysQ)p%X5+@c-v;&pe0|P$f}h8b$r|CJ$)E#l|-Hsv&S3w_|JVDStY~S*Ull&<${0BpZI0JHD6G00s|qU%$IT|L!G zhUHGjIQ(2aciwgv4j#G(M2t=s8(k?cJVcN)HiK>vUi9))B$!0_R?QqBa!Q*q9^@{e z7>yR{j~%VD?Npkbg)w=4yzMejWD%OGZC$cm@=ckO1zj1xoA$%DUdL#x!QYHInYAq?P|Ou{tXjkU|Tb?kIk+FnlG(`Ub2 zGYrP&|CRB!`3eS^R~}(op22ptct`VnM#M8AH)r-+IMg^=Cy1m=(IAvLi>wG*SdD}>G1?Ib#8UX+pYYEZFzznJ0r1w-2g_0MqEV42^Ue=Jle#@4Z~=7ho-B~_4}j$ z^G?jZ_&jD$&IRS-@FtjVF2V$a19v@$#?XlG>kZ(X@Xc?1BW3G=x0`r+!_GQIyn+c^ zNP|c4sz+N!k=FQM!qaR)MRz8r9m-FCF$bHl88CylX zgCsJTIP4kYH|}zDgOEavf}O9&2Li$fP^mpBOX8rZID@8QM_mtpjlV`qh0Euz|+VCd|G#8+3Rf!YQ%Y3dz`PPJXJ)lKgr@CW6yGXG)w3h~7z) z8$;?m0?W2s-GXY5f#`-eC4EWp7TsZ6!sf4mcsaA3eoBCc;>MB+m&YV28^>g^lLSEt1ef$Ep$jat1qc;Bi&%f zSUuiKW;Xw?jJM7AddsZ)}Ppk*S3JWE@lXIaze+S|~1F2$6TB0yLdf zRMg+w#X$t5yGuan?gr^@36V~v8DfxZH6Ux>%AWME}Y$V^<>+@6&>7$&nrt*fC1a3 z4ee}^L{ib86=JTW*P?Scyt?}+khxIdN`0U!xgqKCjrxXaDPHp1J}d6i$WYB#Oh+Ss zjEP{m*2heW@a#RI=RchJjf*_uuA!$b)|_1}neHvT>DZJa-*uud5XQW{1=aVlqQ-#p zrwbm2M=2?MIFN!RE`Wk2MM_OMkOL7xrN%S&d&*h#e8S2Q{?|mbg9qz}+uYPL5$Zs@ z^@N3L+AXQ9dwr^#%LsmnxyaJlt zuXL9ZKw%r*VW4&I54{KjUaJ2Inq$89DDnPRDj!bd!1g4x6~iI#(3v7E1x99u_VC5c z&(dhn1!Kupr0D3a$*Y>fY!aH}28#GmdIbo*oyr;a@9c^DOB*|$37s>b3+o;`$?V@@*=GHRhKh{1k@2D{kTlYP`@?(`u>A3@iN-{2Dx2&f%knkc14 z%M4r|uWlo2)Wo+k(>`ftg?k}!pC+@yQsm=ML=yaM*Z(@x;KXO+uwmRMJduSP>ba5_ zv9SkAmFkMY=BHlAIOlb(L>e~uzH7_Jd;Y;N=W}SsuH2DffiTtC6t=YFKeup&1jQ@* z<0$uV$xI0b2MC=@PmaBtd-#LeiYW0d$m&Bd-7@J>;WVS?k#svG4k`Sap6xnAzJbKZ z4EI-@s`*wJP|hEhpJYA60&_jsiD6BLp19QUpCZV%a~cIF6{y^45PG2e<|n5RE@tpocgd}#HZLX6VgbPCNCN#%^AdXlU8=VWLz zIx`&;gr-B-5Rf(ir63HI0#H3QPpd3vHId*vc-cjbQ#}{~AjJgR%U1avM_;Id$3HwqU|w7%=vnLr|^{SviUJ%MX!ueO!ZNm7x##$6=sD_ znAeu}tGv)G5CODT8-tbI5wAAZ-yh1}|B7=1@cf4jQWS@+%I@91B`2HF%FuqQsW~qn z&Bm1T&?W5_1gPv2%P2^ZV~f~`g2g-XGG2fj;d6dn1X+R zjh|cwi!z`LEMVgFN!3jCDC3Q_ULB_Ww{JDK?K(DBRkC)kmq1h47NrA(k!Qd95|TcW zkew1NGa~$ceE4&1xrgdi=-q(UwL0K)8_Dc@VWbA=pC9J#Q4nY{7dxwg$pPq`*%%|} zfjESx?U3UOoX?^WWLGdmt5nRqTe#!x-@Io8|Equ}qA|{w@1_4Mmm& z{42eEpVjIkpoM+k!8=2ay=goI^RxZ_gyaVLn)j;ouzbv~c}7rc-;_=E(;sCLe@%6u zTe}s*%**eM>$H9sn0!eEI*ubCG0xtdTpdLpPE_Nxp#&vKQ))X(k8%B?g~nts>EBGU zBM_fdFe+W|*Wg} z)n9S3S*zEr>~m_3y1HOSH(vvW&(OHLgo}{mi?u&+gl~B>c{iI1UlP?29whIMFLN&9d)?3 zv`#Col0Gn>eXDRNDD~^>aUmbNWPgcMTQ>CB3T54 zi)cr&$3dOuE0Tdkyn;_vt;hMJqXtR1Y-<>h2pjkW%kvCVK1{#&DbE|1X4Ow;oxQ-l z#)xc@Z>|d|&-)GL`W$OX0u8x;)+>rAk}?qh4sNi?jPjwO&D@Ji7ifCQwV{;QXiObHstW7mD>;M_S7 zr!Pv}*uQ`X{G!jfgerq)raH}`8Lh{+Xe|K|yH@{Mnlziv_;@?Cn2j zMu$2Y1Fos6RjZ!8_2ABBuqwyqkB{Lar;1i7dw+-YkYidbcJfpX3{w}r&WCzsxCQo5 ze!irtjGg%O{r8o{uGNy~am*rHdQy4WW=jI$5x084whIcP0uDl`T;z^eu6zbcU^1ig zw{8~N!AfBZkKK_;=)HAcl*;J&q$&momq%bH15NcSDWguy6z?BFeIA9|3K!Z}9SaJ1VSOJX&bTlP`Pzf33geZMIL3afxo%mX0~ic&aXPk!nDovpt{@Q z!vALhc&x0&V%?`(Lr(GLCpJ7ODk_bl9ao->I>T@t<7v7SB!sYS0?I>$ZOcGTWQOuW z@SzW4FNH8=jOO|S@?iRc&m$|kHk0j;2StWccRukSVmzU>?3N!4@LG~XqN zzi&lSZ7uTg;bSI%_9iwO|4gh;K5tG+yNCCSri9*p{w2Gh(88X#7*R1tOQecH^C1$G zbl)hxO~RGr60Jk!4-8%C*-zfcr)L3VP_n*Iy+KD?q7Heo9EV$NJon_-D za$cWGzX;_j3W*TsNS%=;7~OpKYZ)%+Fa2Dl$*8(;G!hU4$r(#DjWi~6_BQ%NJgy%~ z%uuAqJ`k3McZgIPtc_``Lw&dB>6=I5Je#6Bk}8MNw>Iv>3}eX4rYf~Fj2Z}QHW^6X zWM|)xgTS50U@e12UmLpR_ zKoE@p*}!?)jzeF6pWDzIXW1{)J6p21O)bfAy&bYDEn$-bV}v4WzhW7hZgUcs7mPRG zi`_D}FM|$Z9<^K3?5a;}!V{G!1HLq2z~r*j=t?t$V^#^BNf6~qwd2;^nbwb$8^Gbr zRM8g|aljAFYx>}}t@_CV>y6Y2*AP!Yq;xSlZp+1jNX$x}8Y9w6nX_73Oio6ELuRmp zhOubJ^PZaT<}Wmt+^w;Smk74esaYKl^)|K(3|cwp#hB>#NGVxh9e~$T(F~?PzM>$L zPhoIa6+@xRFIfr({%%D^sbU<-`WMr*niX{i9;Gp2FjEkTUJ;uZ0`5fYUdKhHsY}%0 z&41WX`y`d2_F+ZvqA*eBn=61S2Tfi zuJWx-JNtA_PQfRat>0F@GL(KOOs3ygG@aHCJh>HIHWvkY(7#5#q!H3XojLKDhDM}_ z$Sz1E80*uUt31^`;V0o-ZsUqwKI1>Av&DU|y(6nyp$#!?NDGxM_`-XoRaG0$8j|1R zVb6)Box=L?yxhCVbiI4p0C~4_4asarII~?m%j|6TjdNG_>{kyj2S0Mdw+hV2R8 zxkOST|1#LUTQgJzJPPIA%h+2|?Z6%^&22&R?l|)D!|*LbhVZT2;{NASH|w6aC!?W1 zRXTD6WVNETFY&dT5X;Kle`0+NwCLn;&KLRDQ3#t1cG5 z#h7~o&6c|(lk#z;=7g9663nju`}_qXjN@qi@2j1F7fZ10CH%uD$T}6B`e6;{p?=?j zIUnNUUBHaOJt)i$OS5EyO^|*-JRN5I1@Gd|(yV&haA^9BbE;rj8dwrHzOE8*|Ni@% z<5@?^w2PWXHHPG}BMSt;{Ka%8Md1xss)PLNa#^mdqxM44T5I(O)~~J$#$A^c8r{5V zsn&m=)eH-#*aW0$mecCQ==OXS3YntS(O}lhY-Xe_hNX<<%X{>x71oyOsYN&-&Tgao zGQo4bQq=C+k ze#V9QNvFur9gMQLBNs9lx2+0ju_2ZKJ>{QEf$dCyQM#sxtyf%z;yu>~^?TKti~;tK z^b+063&Uu}_)xU|<8C=9vsmpw{$>@*`t7yrcaYUQ3s_iTq9skGd_H4?L*nf#;3&48 zEGV&!B_%RLvFVua%XWw+R84vg^;e1>ULOzHu!jXdNM48vft=7(FfVVfN#Rt6N?XjkqwFzCzkh{C1AbK$E8{^67&`fXvy=oesO0k1z45 zzdllGIcse)uN1k*!hukV1r%#seAeUzcC+#KR4jT6_BV~A02oKT_O_Ej6YNFWRbM;n zF;nnF#z^;R-dKCN4J<)^_e#AWgoVq)IsLz43iEbc{26pz$$MHdaWFsW%cc-y+)D|- zre@pTE z%C=UVB4EJ*xi8I`8GU(MlwpdFO@Y)LZMFOJ-Sh=*)`QNkiYklfxnd zluVYG$}>OB`Bzdo&m!Ino(EZH8SN>;WcX0aa;+gcP+c$}0LD@X(A`X2^X^R)xH%0k zXTM4I^HTo_I?ogL!rn_u(>gXpvXOsTBEJR%0vfD4`G&ADaxF-a~K`|U$I zn)AcM%d5|;Buok&&INhTl6UWeh2YxISZv62cA!b_^MEq@NGIuOhBojhT9E$ zISzPCnD%=jt8n?D<2C+oY|t8XXk*I0oKBO<>aLXqqw!R>>VVZ;XCb?raJ#n>)lP1a z8;4mZK1|O96`R+R3vS8tNqf*_j^Rb$Dloao2AIa7XSdk4e000M<6GxmS;=3%`3apT zP4*qyZ1+v_mk%*i_-1$Mng7_Wr}hlW>hqvTyY|>+^K3Gp9f{NoVb-Z(d-J!sbl-;- zUruaH@Ay~p#{n@)K}qE%m-pZYLbdW)TLWa+ zubDcuh!jf|oXh(fH3V~txNIaoABzR1j~Y07MHT?t0}ZT>@&h15;J#i}>M678 zZyzI%R7UHxrq2ClhZNfXA>gr(ZC8ElKAJJb^}hO~cY=bk##IIh7-j?eSAt9n9Zw*} zc(1bP+M6_S>4>*ROC_uQWb`uh&kT}-`~V*TI%>aCUx7Z*qd0f7{pG^-+mP%fU#~H$q7vMW8Y9Yl6vs(IfAChky(Jc8>e&P-;Z_$U_ zeNQTUe_#;e%5<1sS4vAAYu;acYi0`DP1>9eZxd&!Ej2Dy0nUQGx$@FKNjSygG9p{q}WR8&fJSxfIL z%+OA5oc--lN{Ef#(!`b<>yS}Sr*Ha;Kc4=Aqf*e|T8Q4Q4A(+a+Ok3mc(NjU7d{xYCnZajC zWr0oaImP?gI*Jbn#D~c@YCwSJCq*|n@P(d}+SohV7X{AKVlO6^_UUw@?)9|oYh#D$ zlQR~%wPu{9H73copSNG~gVzV-G#XK5#fNs1)NEEByIlb&NyewPxqXI#j9w4FZ>x>T z$Gy*sW{s=z>mIjNMtZ?-fRuHwNYug{;+Q={K)-KXbM#QGKD92T<**7!<S9J#P1v*$1FX^AlYvDIFrl0l7JSCv4p6Ri!*sXWSB>T?7 zZq`|955{p`c7@kSOs}aHBXlaiL*^{^tXd#4g)Px={YZz-IblO9n%4;WN$Bab6ghLx z+-;OXRMs1|Z_1~=Tg+pMo2cY25O3NPTh4{Sf1Hg6Axrz$K@|2ll|qavX!sO~tp6%6 zidCZf>WPVQ@*WKQ#+Xv+Zw+;GgY290IRs<7N=MUeLrJ$ zxT^)BiVS%{(^2RM@f5OJCP%X%$7OI@AA+uKge`IXB89IA$4>78p zZ=sE!!_|5ZVm1%6Ul2Ra zY?A$9%5}rMRFCCbMfvJK+6ltH*rPzO192tb57MIF^;;CU!bf-S z%mu%YGlKs-{A)f;Kao~&(!lZ9du#cK?8Rs8#LCNCnq2h5TB{RK_JXy(bMbp|zZSA+ zNMB}$LZ=4qpMS)|-K6vU=9(@)Vs~Mu3*0KG)+J4rUd`8D1h=`|Mk>rV!A05|x#G*{ zPi{UruL@rX@M)g@ww&e9`Z-&@_WC<-4a9M3SZkQ+(g>Rfb>%%0;Deh&u!P$j+frj5 zk%`_wR-z@6C{~aql@jY2yW6}gBL_5*vclvG{Z;r(GBiT$9w_%FI5rx2ZC(05>eE%| zO&x3x{iU*Wy+HNaeCDK%Fk=oMfxJuILzt7lSuSz$&tj)qV^uKCx2N8)W}Hky=H*Dd z3JEf)S)-%kzzE+!lk-N8MK}J)?#>OWOYwZ&tkSKT$4dtQ6B}$Bj(?m%8GBv8&hxnV zQ8G#r*ysyk`h$Q2TF5Pg|L^z|;N*;OJpYVFGfpr6G0i`ggvW2Nr)d`C1wougS>Py| zHPbp`7@ou4aN@m?#^@lKc|LwYaLIN2w!Zh*Jk5R6Kk{VI<7og%FbiSdsK`tPSld;r zk;wAKCoV${Uv)iIR{QJs8ep(K1Ba%KD-ehN&8+=sRbc>U>2MfmP380ALQalD>{ns=e> zofx**#}&e}l4&0_@yi0o)ba`MH8(idkWcUWi9KJgk4f8r zcY;JEcEN$Gp6{-g3oUpvYQ0{lLeIt#fSD2FL?)Alwp)$*5b$C$#yLSxZ{}YRT1NjL zl7c-QIg>NZzRdR(sf$MZjEVYTNjEbet85H6HU-m&KtKK15L(*#OEzA>;?_rT0#_c2o_%9<2F11!sBj<@I z)={QHy5r^naY^G@?#4^x!21k*EC69vXw~Rk%h6O+0^D99_PIa(%vm;;8Nd}sYG)kD z0{w*dxsUk!xcAC6WW{QA;?jpC4!Ego;0jL8)Pw4F=9gB5>M@|dy+_KF>f|J81v9i6 zXRHOp7l<$-v#peN;5cX~pCNW`=K@d74Og70YnJOd^>J>aIN9WoFG()a9!@I7i+rZV zB`7DmTchIXm7(`%Eo$lKpX=K|uv5>**DLu1HP20rNGc^EcD6CwNR_*+IvjK>*>V}- zd99FOrhY_qw>^WTx%=gS1(701lktrmU7Mh_2M#KX0{36J&-KS7}qX5LRF%R-HdZrGUw z_2xz#%4aqWBpT+sb_Db?FlHHey6<+u8bZ%;vv>GvD9Q6m&(}uu!m*7UUioU*z1xum zwsPdGi>zBPCEoxB@5wz%89TO*R z{dU;U_u;px)E2o{nw>mmA*RP^pCxX`%Rjn~=Ku+mPdZq8n~qD5p3raesZ5?1kDxji zs9a>(M%c(5{JK32S(3n53B~z_sb6$~+m{;J_+TAe5e{n?Q`;+ z+Y51aG{>wYgT*8?k$XmjqP%ldK66%)xF6te~iv}CGY%J z?ls0TZ(KlB9gCmsg+AzSU13I(p4O%2^FW!`)e_7N!vxX6%ZSxiX|dw-;R6|)bTGZU z9o6aXfB3Y78hRGFO@579#c57WU(#MyaMbhv&Wq!vu+x;0H0dDjpH|(SZ)M&891`tS zi}rWG+Yk-7kZzvmcOtQzta_L_aCeW{5njUJv;;^6?N!-zCP(20+JUvkY6{qoO5W~u zH94qJG`raSE#a<4BX_MI7amX^tWoL~>d41sv1h_3#A za$Eq%6z|f#g?pBoMaJ@4)tP-+m$ZJ=prFBnql%_a@z06t z6mbkBs#8UQNd|BJouyq{X$t>VynnRXX_}uQKIK7DgZOT9CA!kpAd4z*pLxTpZ?Mh& z8@z6i?wAY86l?S85QIxz<7~tt^a;@SH->u1EQPG3L<(Xn7Y{cX$`kPrQ3;c+b3o56 z2r!mB&#r|+W9onBbQ{6W=}Yx^_cWEk5~Dym*Z?Sy@6QC;<~-UA++L&c#ZPqY#xXb* zWIKVE&x*hpO+ec*cFp6IiNi*~-O+Eef6tsq2rTBpYrMb69;mLNDZC=1qu}Es`{whJ ziYc8c1jF4XMx?W^zJ#G!j{LH@E<5viohEgho8VNa8=PHIxG~SWzdU`)Eg)xcrGVcKhMm~&uqU9v#NH%15 zb{1Du0Lk+H;ETfwSEZne4l>|Z!Fz`H&K{#DH-5bw*jQykHvY1|8_Uhc@cXuW4_Q~J zrj}wvt$s|Tex%oQvBAgGc*oO*>0CSQ!SUuaq&~w?Wo*Wfs_BD%>bfK6IPTkwota`c zD4E+_h`&Ms@~@#J&q(ot$?+hJmLhf8lMx@uOAycdjdD6m&JsZZYnWh)&vJ=&L4>}Z zC?isofRQWo{T7A1d?J~x4VR%ew)6YccxR*PTBleEt;kHMz4vr!!TEISz56hUQ6D?2 z0P{z-gtlRKF2@U6@JSiczZklz4(TN&G@ zRHm?-^9t7C=ZyyY52NyO!v?ZV+)dtdImUaCvUV3YY@d@m!otI$ViOe4!#!#}uZN87;i4w-O)k^kWE5lWsZH2O%j{=Y@(G-mCv8z^I;e8YqK z5d^?Uq4QTiuWDNxtizsJa6)mPr#$Ql`*_B#CAn0RDrpNlwPaoIC@Hq3%6nJ)XuByW z8K}S5&$`+jLck>70FiNLvN_C*-Jt2F-x?2QEkYu~@;aTfuSk!3T7YN};@yD`wPY?S z1HA4WABmu{VAJc#kn|j+2wh<$1a+X+0U%p9<}5}XXDP@LcVaRv)p`dRQlmqvzdY+= zO#0grpLQ$^zbHI!bNe2)d|7dMVghg^u%VgVTeef@;bLXv@yc(`faWPh z*N_=fN}?CkTQrOk_SvKL&FJXJo_xBn_=yRA%ReU+mma5lXYqf2hloO}IC&+F`xD=t zIE6|qbK5Rnm1!eBVBjq7?NC1RQ1W(LB;Gh}&>{hHQ4o-q1ENR_MTWN>c11;5u@kGb z8iPK9cfTwR9UC@7?Us1jey04}Hmj&HE>#|c#1MIx^N2bf;@GG!d`bXywmZ;=MC-qM z0V1J-*bytoGcM^tf!U5McojjbQl{b!DkJ+X<%6c$7x&}C+JQCN{v+vpwd!7n{)AQd@YfcFs5aOJ-GR4lB0CO~K2%QY_ z)b4TA?qOOGdlJ^)GE}2D=oKU9RrKLLd9$jTdhOK8w}dt1`ssQTsL-^)j~C}g3tPsf z+?Uz`3j*|hhh-001%nz@rn_IPjm*g}n6ap5L2|uh$&gyPl@Tx54x`cjKs8P8Wi121 zSkQapXX(#Wx?5K$@^`HykZXwxH1~{TmT)lP%++t~oki7uw9x*M_+ZXvfW+siky?D) zB4TsNON7-DO}X~TQY=lm?CW}?H)feyB&8Og_}mKdmjJ!jx`SU~;O&$w+#N6hA?*|U zFXir1b$GNr4}rwTdt~TGhI9jO`6hWSM7;N6L_(Q8s1j`INtRGD=2)Z`LUg4*i~nZ< zz?BgSA9f#TMe7OzH?SyV0zi`q_$`AjQln6Fc+AxGcCc&0|zaB)aNflx#epg~KYJ7HJW5>g2Fo zhlLq3N`bC3J2%9tb|#7w;EjLU;rTlJ8I1pS{U2m6bq}C7sKx*vAa+Kx4t~Ov3 zYEWej0#RA6Z2vXB?TK$xt77O0(LVqW`GrJfbabd(`~$;cE=uW80>e#u(p!*L+6H1p zPJhUKtB90(dR;)r%5Y*P6+*W_@S3np$k*MbfCr2K@nbO(ZXn9*<9cxtFI!q^Riv;b zO4jMHrm@{ZFRb9GdWeyv>j0fOE5)B(NZ-}ile6AhRz95bSKVnzxwDxV{`9_YllT5p(ZO7i>kxFCX=E{{;wsA%@A%n|$3D7*tuMRETrMU`m> zK@w+~{p$E6$#Sf%v|=D`c4V+51#Y%Ys!!BZ_U7~rzXDdU^L4;&UMxzLm4=53!y|YM`L9C};`*P`Or*dh;vf^MgvbINv#hhX(e=g3E z@?qGXYlQ1j9VqIF^w$aJdU(9yV>#8%@vaI*cX|;#L_i_`liNGQZ>x2BXtuosV(mae z81MXskrCKJ@+ZGhv%5p%8A-nS;2Ea;pYAGlHx|F438F%9AEc;t&7b72LUAS>(de`< z=rJWet;5IYM8ilXQupNgjqTi~iHBCwFmIJw<&#TW#aMt)oK4EA?L9~(BEIRYQ_%<0+fnBT^!&N>2|c5VTn-X)3Hv1wRJDQ=FbL5A_iv9{nq6*8_6Bm}>Trgd?o|FLVt8iNJ06~P44B}W zP~ZelFfT-|L%WJ@@W_8QR@ zt{+xdk)@@Rc{3`!2ThPLMnl_jSJMXg^*PuG;dS9W)gR$2REPp*%rrNd}O^1)bsOhVw)@c)edE_yem zVAh+!NwElQ-sa?@ZNOS(<%1H>tQ|Hm(|uRUlvn%#HH4g*L5JV&4m zJp88UADVgtuxsM`+fJ4?HtvS1B$J>qSlNCyI}wdq?w)r75lofKZ6bBq{Im-Rd5|YV z>WEJdHe8$S<iL_n9l+N244f@n&cxL znCqu4)1|zijxX%7M9!mS67?Oq0oLR8HPY+Lk?k?wp;JQnPJ=QfuzPZ^~-fZzBBL~;Zp(QG7>Z1#uO30SA ziQ6x%(Nj#Ky2;;XHpun*h2rwJww*sga6eBNF%viyM_Rs(?jxZ!vRF*~5+ARfsAM5R z%WkBEf8UBh^X=@)78bU4>w1i5DUm*7-h z5p1pd{u%qL-zdP6ppUhKLz^aX(EnP1PEXsZy!_5-^xP5Bie<(d!F{5qKOIzpXISU; zyV{mDYrvL`;K3sCFPZ(2*Ul(MNtGX^U5Tt6r{}9@kVcLLe~a~A$0UR&Lt5Wp3GiL> zH9B*B2dZFV9I1O50(>e-_pe~&DAhDbfjwmW(j44QCl}Z)y39DvE~pwt!y_^4UG;uM z(0Yz7QR??Wjhoz_PXa4fqj~bMVeSq;M$Z5z!KLWB)S?huxbPXB{Dc;ax#8Wjw1G^a?(KZFNH4GPh(uLC~NW3ez7y@Ngl4x|lFDg@YF zjnA+N?0S1U3W%Lm0)!daryBbVSQR_Fk{R;2yDC{&b33fy0vi{#fy~zXi-`e>+j49Q zjix&`rVfNQ>$pn!wHUQP9mR_OQ6bXiNRro#_PC-=fIOA!M%XkDH0Of_(|dVLqfDI? zc0^~lpD|~<(rVoZZLgv4J3l*Zz@{26Nl(GcX-+$}EeV$#so{#bKev{fz85`*#J#qu z69Zyu3co>?Mw`Q*-Ps?G+vC|8E543Wv|Wwyo-jLkGoNkAo$|ZNaM*@bew6Sm4(}s1ZL^UbFvW2w1o^tWoSAtFT27mTDq(TyPC_Mk?(Di)O^7)KARMYQP$k+Fd50#9ALL(dI3 z^H%lum|3;Jj;&#Kj*4?0o&^{ZfZbac^O{Iez%~Qd1SS@s04o0A=r2rj+H)vN{EAwV z1D!ZxLZ=ZAT$qB~7GdYvSpI!QeiCAibt2LBLwks7Q({Gwr0JT0dO^X8U zXP~-m{+DKt@*p4o)8oX`q5DCMB?W)TUGgvfYVl~t)3zG#TO6MskI6K>P@ENJl`_@Z z4~(9aO6Y5uTO`T4>vIj7pO>=78amz*2#uKUt+U`bI_=;YgJTprwpn-fn>R14giTxd z7)_GgyP`8cjij-}u@Ap6#F9`CHeDPel`mINjc(bx*5<2N$9F}fsNMM(XJ_)C1 zOX^=+{gW|yMB$nB?+(tvJ7Dn)l>#&tY1??ECB2zDfNZz;9qSAibiz>ET7c& zx!)F}=%Hug7 zum;NX<){7l;mc!CMevsUt*Wup znKiiND*3?o`^LZ_ zQa&SYweSEN5mLy|->wEcwFyBQD6Lqv!m9qn0ybHXIn4%J8Bm(1;I$Ug4ms)HP8`m+ z)n>;3XB#YtxjLs9g-rEb5g{bq_nFCXoIs@%h;jKyKqyYv0EX7=-;l1I(dru4ve=R= zkn|eN&FIq^{IS^TO(^QT61t*L#?5<<4J3(ZEVBk{u3EK#c1Mf*{g}w5xnC~Qh@u^9 zo!*#&Yt0x^*j!t42LhxaTb$zs-VKqz)`CQN7Q31bAuUGBPh?#mI=Q-rrVaH(0|VEY z(uUN#gu^4Rf-q~^YEv?_4h{l8Cv@kcUSuP#Ig{L>Zf(0fm0c~=0`d_yr;U7&T5V?vLBm??KTq{rxc@wYK#YYi-hffk0!)GV<*H8hykU+~kORgfn{`0oYh zgvFtUSs{V&o1?-s|Oq)Cp!70Owc6 zd`+Z&n?1;Fh8%BfR*YLrStC6r`b85VpPi{!bb>xFTJ%#PPi!m*C^@-!CG#+eR)y|M zyzQE3vI9m^LVNq_6UIl?7i(mM(0xORw z-RX$nYv(9#4BEYEJC(rM6DZW5D_N|JWBlhgdK>UuOa4VZ%j8rzleO56WaPFMRL6yi zgG@+H5^o_*u7dj&V~OG8Q$b)w(x$PFN9tFze%XZ?WK8mrqgN(^Tdj6>1KxU{k2$uL zeJ`lr;1Tx+Uz9aqQ@l@Tg4kK4Rf$Qk2}1D-+70^s@;b?VB=6d*MgxcHI!M<2b@sRG>i;)+9N<~fU? zJvX{sgc6wm=wXG9Lj9f)d8K>SYXyWl&=h0Q4_?#|id?}ekM}#~qJH?~7M-gB z1g5**7|3JG6LFwVHZ=fk0zUTtePFLTL?W`!E+2uuIeNNqf2D?jTTha*uF=sAx43Hy zR&D~lcrWGAp0~d;+>8X{zv!(U0HS}g0>e|(7-{!z>wMF>j{sz9LlK+F)l70ohABRZ_PYb^17x($8mhWk)?jV zl41N`3HE>zD4`!e|Mh6LaR2$ya#Nlhbl8^jJUn$z?qOdWuICfox~>Lfl~xoWr_uq= z#<8E1Af(-XBf`ze!7N1V^B3jnJS$k0;dw%z-S9 zxIc!Xz1Ap2uJ!pS*aWquP;X0TW%9fyh{JjyA&2t|X!Q<=3d*gF4t>J!C% z-FNuQw;K%4O+B;=T3Os8yFQ}O++nFAa`2ucc5flk#5_74yan;ch}&MRCkD&xAMN)v zRvam71jJ?2m)L&9Y1OZicl0HUr=)ae&9UgLXzZvc!Y~qWz*@c@u1?_@nyPPDrODHx z_+22t9roP*L`wA=5e4`zvNt2}O>~w^>+ilx9XYy-^{%qXQuwb} zB?-vl{&rU%XeWlp4SdTt_;Z+W(eH2FHfcO77PhdNgVBxh9wx`O#TpA?qnXV;uT0{3 zUY~>kEoror2&jKSt zX^!J$pX9y4SAl>Z9VVBV;Mz0zQ)$L6#b-PUH#UbLYSO^DjpYMY{~xSh;9XD2GNur%(B|{+{w}oY@ka->l;Ydf`zF=cK15 z)o{?GMu&kZA|V~G{IT*vX+yBM>tejef-hgy(L1iZ6QjIqgMW}dDY4E7FZ_&vUl$R8 z;doeLc`ism?8T&%QR*jp$6w=xAcKlhd$sGh?;c2^g+dy3?KUcUU1F2r7k0~wFvn(S(O1Ye>0Z?8zi8N@4>#Css>7k@qmh>% zjfiOL+hBztEK2?$a{frcl(C|>TuJ)AWR}T}g{mvBJtG7$gLZJ%_w+lE=T%x8Y7w7f zM9V4=HqPT%MpQ<6Ot9piqUFiAs8HDNjPRT_q$>v^ql0^Zn~??mkYIHdc|x(D5mb2$d!<#E?a|o1H#^x2ODZF$Z!h%=g#dhGAoGE~QpX*O#Ljr#FKfF7n?WJ6@~puIm3D zSTDLBYm?V^w7^t|qv}4l&WY=SD{LA&RphUH`tawy@lb3I8Z%i%6kE0Ne_S}cm+_8$JxCi!8o--ySf8^||5?TZP6VXryDi>ep_B*|m=Cf6k zqMzaRltrUjfBs@W%nw~qERs@{%ZsFizBJkueGuKDdX>k2WGP!TO0t#YvPP&Z^~my4 z$L}BDR#zKIe)9Zu3|>D$y~?1oU_g2>bAxx`w(5ISDCt;YhGAn`44$;fK8%Go6npPl zCGfoQl5Nvof9 zV|veH@z^lkzjl1Zcsw_N)p+E3cS&BFVyR32p#H>>=GjqtDCZ13`1mqrjlao{kav*~ zh_j@M8sL_Ifm%;OrC5&r(uGcR{X~M)mOEd48onpnMxLa{4Qlz+*qQMF7u7zU7 zp;)n^ffC%McyWTe28SSDp84kenMpE}xpQ5+XV0Fq`$A#jR+Km1ejP`xg(F<_pl&*% zN}1>8@5b51pnOSK91#%9dpeT~$b!w$e{+tE-pa-&$?)# zZ@m!#FPf3-wjHejz$u8K^wzw{=CtwGQsWtCL$J{v)YpQ5=f(U8(~%ut-OUku8Bv1Q zxCzx+yE&F`)qO}2{{$=4-I#(m2BJ(pGCX3n;tzO3EYcz6?u5dqv`gepL+a)2X}Rxw z7ZHKElSYK=G+IM)U2`Zu`OC$r(}Re;#5hq;w+u`bM~YCCsaF)1;|8a-~Abc{Vi zVY*;}_vsqr*Vv!c7MW?JXco=kgibC}mgh@}Ykv0=Wm!@tzjW(>5~#5bqV+Q@H}JcObd{hqQnD<56BwtIMPVB8qpQ$5e*5+X5Y>1&1^M_d)$$2*$cl-g3k zY<>&Z#jvA)BJQ5#FuaqYZzX=|pEWvNtu=T?YffLN8&=6>Iaak9GR{>UQOUp$NKfVq zV3KuOf70;ev!OC^;bp_3W~<4$_Mh0MV}C3zC1T=#^57XpsZdeTL9+|3f~ep^ay-mZ zb!idgkjqs5KL*~`2lQP#axZlxj7gvwDHzmY>-=!l3s5w*koWhza&xpw*e0jp@usQEooB99F#J*sL<{sA&yfA4clK*+^Ho> zp_RIbSCESmxRYYE(wnya7~d^xY(@g=!E&aJ`5}iKf%?(n`^))uHhKah{Ey! zqFaJ6KI}0j6D{ zIj~HK!ItyGY*SfaM90d%Y5Pfp$eu9N+k~aV!#{zHHJiY0Ql2*9kbSG(;d~l2gL#WF z8jyvd6ZYJ;mo>Oc647Mp$DqHxqd4v21AfI$n4!-+u@(zfSpbrPjRDKt6)&5pr|VQ# z?pJQ$`@loK)yDz{B@%IIV6r*EyTCumRIA@`_31`tC4S!poh%7&+z8|gXpT&c@%6&m zUv+v9XYpmV^>X?bL}tIAv=weVEzD1U7i>7^#ey{kV^ny1Zl0}pvvoRdPi|GAqd(ss ziIi10Wz>813F&2i3b$=XWAorJT2p;Iy>l@D-0uK?&U}`=`$hW{{R)$QJ9$dw))yzR z$2Mico<23Vns9h%zjL!%J0r~-^Ub=C99}S>c{kK8Yk$~pVE5C%4O!=RSmKwho}RZL zt>e2vf}ow5z&98wtIq1vQq^siX$X@-Nu_#@YpMEfWSv|Mb=PDmUpTM-NLYj9 z>%#(k$qiHjN4leXEF_wTjR(OD4YK=VqmJPW@%H6%OtWNPL${*oU*I?C*Ja0x`pHiw z&_Q6Ao~Tj402Hf0o9-m!hLNU3P3^}>=VUHJz`1#`*O=Vl@&sTAP`#G%)2-$BgVq*B z$=|6Udcjy$)O1XXdzXNBPA7$JiJYooBH8>=SX!lWYL9W~Ad!C-(fP8}klc+f=nC*U z<<~tvvec`-QbvHTOO%Ny$K_}#I;tG>A%`}X3VjCr{ZHVCeN&e=Wjgb0>sD`C1$d(K zU0=SX67VSp+wDI4!ziLL(4#wip?e1Xq))fuqg4%vG%nE-fU+5$cP&8?m=-E z?JCspz~fUEI6=6Q+l2nYCn>Al-(FQhBo1gtpPii@R@E5(apI7dSO6ZNJL80&om5y2 z)wD~jyH+s@1zsY*)HFjsAk5bs_U+Pq@-#CUfF}apDBj!Lwa4r3&X*|Qm9Z5U#HobO z91(!PPQjjEUS5ZN6|KCcX7*&XBE4#!LCDdiSUt#D!~R93 zQ*o4`CSKRY7-Ip}%h4nIRTHK%23Itve7y9uC!N_pIyi)Vq-_5+f|^5N`yxKPgi7aY ziE~Rw-oMr^ZM&{VZS<#<>#MpYA%MEIP$M%Fcvowh3~4^z1jX)oTa9b(82zzGkffSi z90BW8MjZaho2pEdJ~rqqt-U|(qsHIIh#45JHv1RuEDZRN@3GoFf*e^QfpeUv4RDco zF?l2G?B~u3yxOkA1UG3 zLF3L%eVc|iR9O;A`VL6x{O79)d@k*$?`}_4!h6EyRRwMb;Qtrbac{GM7drH9@5;>~ z^ou&C&a=Ek;S2sP-C{D$9i)`$d(-(>&J?~sVUz(vW-)fm8HDB(CFtj6&lE#JQrvFr z84pHItXf^}@0ytE4Xh*5w$-=wP9`7DUin0g6sF_po~Mk$ zf1*}G!rjyGUn0*B)N>KV1>h^y*a!;5txCokY+xc13F?5FQv&;~)GBYiekzQ#yT#XQ zEjSC!e17kkI5-zAq$@zuk;u6Ol$g8I;YVnqwi*#EE(S`z{QYo$xiHaJ#lYIg=a&V> zyQR73@~g0*YIr3FT{Gp^$RK<+Z&LwlQ-PSmGOx@tOTMd=_aS%2>I-~yrSI_}K@-*b z?HZKrul8~DK^}nweUTF1SGqcNG$x!xhmR_p#*74Sz?e~Ym$l$fg$5Y36_QD0FQaut z>{#v_T0v!=tEXzK1*(8;mqO6=lqtjD!&mp{Wu-oR3&p$Q;%W1X-0j;R6wIIaVX9hB zl*IwLG-Cvp_T>@)gq0u8H4C!OiD?s4^+G`A6q8B;d6JNefG@-+S*&lv((qh3tifw* zJ+N1*Cu8!&o^RHSivjzCQSh^Q_~BgqwM5rA$7Y9RD8B>wU>$_MJKtbx>w2Z%1Zsxa zFij>>a-9e*BjU7P1)Fg~#*HNyh=IR-DAC7$ETH>HMwIUJM2@^UYLnIVAWC{VO!-No&OKy*8IMz$4nRJsI07vn1*WO zNQoWT5?^@#0nP)F>kEGT>s<4Jk&1{*>Ihr9iH{ZHA20{_X`dkh$S?FqGl|YWN-rj1 z0rNQHJ9#%~!a}FY%jI(|buZoox#x4Fr3M~ZiDpo4y;&dw@VP=30BsEo*xMPmD(_() zFk@@*4S8+&ayImfky~*x24JLMXb83)A_*EuHt?UJC8KpG&HJkV2)esceKD{}Gpl@JdDm}$IHzuN&S zc3g5_r@N$X8$o1$PyvUOn7Y}@gdhs7`^E>yq+lh5zoZlp|EkK8-R?M+zbmXN85?Ev zu`BNxh*Yq|2Ay|51Y7gEUt>Bw&#^>)>lc})ix;N8UGC)S==SMS5|N~-d7NsDVK_^7 z`~AfECHn-<(`t-%?%MqMZo8y0{7F260}c*K;Fwwu$QRY$-r>wPU-OK2(B{|t+i_9F zSa>juKOt%1VmR~}c%cPDBq#xK*(;s@kTPj+paNq}kZ-qGMGGY`eE*S?0^QWrELR*- zf)h&^$gtaYDrzvZ<6-k9)2@x?sq}nMm z4d;@+{2p7D_wCUJO}iJhEMfjcYgnN<3umYhLBhvxKP-f17zWGK5F&TD%06d&*}eu6 zS*W8uYmz@)`+Wf?-s>p`t!+3(K#v&zVyd`dE;)kpaAIZTKt{=1u90K+3QE-r^T1=N zQewLSV?rrx2%1jqs*qj?{*hxxdMXb{-*t_rTALXT-6h;z-#M&3hD;>wF8W;w-K{lS zCFCWn*safriFzRou{wx&-t(#F{ZLpa9b?Q?Ell7IIEfLzZ#^*10$Hp#ZqrQR%jzZw zf9W-jDZqhroh`uatqmN;7~LA6r-oEIHIK0<=Y!8*K+|80qRMnI6}ktto>*AQSw3Xh z)SZ2*f==TFP{)&T-8Ouu&TA~B_^YAu)o3y7sDV8OqwmGS&lxZ3ORxBCbH-s|XXA>n zFd$ic{3PPz)Yng>qu$rj_UmlmUDhr+S!n5)W0x~un$j=$6x4rqhV_G9Ivp}lE>;qo zY+h3BO`p_+Z#O7mVp8z zEyWP=iu*Xp$aSSQVA9qbVR~&eXAG>JM;ss=Q!)Ddj?ecJC5f79Ft&1k+H1u9b#NaRn0z#zJ(zKQ_qig3H)*;p=r>WKRkgX<5X#hO!6ab`M{`8dl0?jl%hv@J zoK3<8q88TRY#+@*cL5%NroM}#(%9A&uc((5?k(5Xn@;iD8;|i3YKXAudh~y_CzXB| zk)uB(cEZ-w(CyG&?=uIk1Qb6h@K5~Ggrj}Wi&9q@QCeEqY|KlTve^ewijDwLqiV;x zU%5C8tXJD!Omv-h4wEBDkN^)M@cTL{ID;y}cTjYl%m%hgo;E+s<=i;!*XuSOYN{kR z)hdiyKNj7LoF!P?nk@F1?9(!JC)x8zuWdgoOCB%ZTBnwbNaIm|M4WUxt&7A?#8U># z!OTZEFbF=%A#mb8VY}+OCbhZReP#}r?v;M|AWl(3&rCjvE1oJtkaDKc%1&s4As-tp zbg9Wl&W7-f)7>5aF`lT^P^cayVuUP?1X(fe2$eD{JT4)5hM#Tg^ek03$UE*^C{+Za zUaFlzfQ^0U07(712AaWaZUtt@Rf@mLCu z7u-yj9{o88@V~!Dytad3&O>&hXo#*awo(PY_M*$HPapm`FYLAv$fd->PVby~zV5_U z62V{KkcY#cH@6|{`ois+&l0GgJr4gqM;P++hy(m!pP5NQ45{X!KscO2tivIE2{AIA- zwO`T5l$@m+_Xr;=*h|}wbRG9f;Z``&XCs}M`R9|bh*||7gdaNPo}@cKUsT-#sFb?L z0`|?4i!ns*dQ5cx7y&tD4YK;g~=!^169JK!1=tcKD^ zsf1vKqcwZ=q_Tldin^+ED=B&-kuM?!KcTF>6b=eKb{K*DHgx?@k4i3s@O$aM{^jJ^ zi;fdD)+fcXxg>5f=;=K$@Bs-S!Y}W+>T6oez;?UUdQ0G)>7wxcP|@13l=Tvn*;+}< zI^wQUH^R?UsHas?!%v&pbIeNyg;QcdlUBPJc=0U}nlIst#Hf_qy(9W->?Fth3Y-Ks z8=~UwB9w$TEY_d<);C-It=n>QkwQi)RfMxabX@VDU8nKPF}CkBh|1&1K!wZGkp*UM zBta+WJpHea<#w)C4uq^_nj1OrpZ#B0+2~HEuanL+M=;idZw4npLtU;-p7oy= z@t(;w)itb~isuVKncS?70FG@UD(Tp>s&%BKrHyOtu!6od`54qw1=`Kn@+c@P?6sBm zhirhKihG0H+J(mU>DkO!X4>Bb5I5U#my>9A-1O_@*vP#H3rh`?bb|2T7*I=I>x~FH z+(l-SXCTEI&*~WuOluAH2JVY)&S4*lDRdV{?r)Z7`~?sKAb@g>I6dGUSaX=a^5~4G z?r#)ClgO%Yi{Cn%kkZX8WHn#!rz(3E!D+<$$(M|5-%A-7bAOBEa}yh`wLE7Ln)^bQ zmUnLPU|n;j0r#GNxDF~K7G|be-lE6{nJ?ctk)9)5fvl;-D3H`SfVf3+V}#VsTkqhmM@Lr@^aEy zx}=M(>DM~{Pk3++zW0dQx_Kis@Q#D_XTXZ_*n#QnK27jh%N%^LAe~Z@l=jq0cmq`t-$c*VGH4D)TY>>5pGkq=Pl-GZ|b7O)-J3m-nevCli9dxV7pi4_3 zsHxL=h|yac;{xDXp#`QBfMgN63QL0l(r9=%#mm#NaPa1~q~#qpoc~^F(BfbtDBxxa zAO4sO|NIOa6aQ6AW@+IhKb}cUCrahY@$!r2)3sUG#HDEg+Hk(K!RW~#3#4XLZ@<lsuP_f!<17^ zmqNxq!EgoxUT|5CMb!*niJ6HwPx5i|7{$T+PFvrQVSK-Rx4bJK%h zAc7=E-M}Bg4$Ti-HQ9(b6ndXmw9SkZC;wGJhl?0+XvjTR$}yYa4bI?JI&)Fp7&tvU zG~`GwN;>g`)a%_J5h>@uz2c?(`vd^*%uhEqpqq~ULeS!Di?<{< z4#NT6U$x|bHp`45yMC;@T>}&Pd{Jc9*BGm4Ql^8{MV4WhRr!JM;oHG$Gx=nv2e-AE zug{_00jXdfn zS<1f2PXTMQoh(`E5>`;Xc!GypJX3PwPu@F6NE+}2t=MqDyj+v$^AF@*{@-`+SE})c zdu1%Q%EE@h9v)s^@0d`@wC3Hq_=f$sm#WYYUxv z?!MrS7RQkiV7P!VU=him8_$`EVL3nW`QQ$d z#&y<9*mBM5nD;az3*SinasJkFn}(`6fpVh*p0obZ8@kkNT*g-cFRA)87F$K7nve!}F(=nHGvN|`&5 z!N5qf_K?GMG^!BQYHFejLdzl2uR75ar!<- zdXlq3*2xFflYKh#XFZSJ4+A;bt^JT9N1oGIYspHF=tH=-6(8Km%5Ndkf8peDO;tDx z09htbQzZ??O=V>I^j#%8J>0hN)3)w2{3h{#J^C_~;K5{rqnJ;t_}YmG#}C9jBCbKW z-cMiQ*|+T2&u#YR*oY~K9yv>le>5ds)dk1xyGW%UDl;_ z>a)G46>-kl;*S32#UfIrLl9C5t0~EMv-}A%W-B3bWclo`xg4ddwT)y zCxY~pS{*fq!q&L7)V;&?1gn87noXT8|1_=s9anHx7W(}4^Q`m3j>0`r>JqsUVCfLz ziwlKY`~Saji@R_E%*lliVyqb~siZCUXG#8CcP_0>OfC|Vs<7T0?nKV~{Jn8W7{O`1 zg9h&`Acd?2iC%b5*s=#HlWgwTRrdQmHt=7)+VU!ukAd|;cTjgQg>WEoY}!bBJb&8;9`Y4w zaJEz6HNxD_ZO5lCG^-O&zzB4lx4wbL#W4_=YS5-4anAM25fj43c%{9B+lBvq5eMm3 zo9;e40lAV-;YU^0fUd(wuL`Y&Z??>??t_oAHjIN3lYD*w#Ek1AVf9dLYEhvu#vw%+ z-WO`VTwBcy`Q#hfUsq!zh%Fz-SLKVto6NM z!EE1oyBIJ6eK&n?_WSOUw1^MbUR$<#9UAXXv(-NI9B@gY)hpwO{=}kv{#ERO?uRzt=KFOz%_*U zqSo7UtLzx|U633B@1&isgvc7v$LG^$jsxR%2$524I6Nr9a}SJRA?oyD1{p4EVqEmN z3lF+Lv@WzE!8^J=GCS1Qc)N&;QzYa@eUuo=%S}J2#}Rwc`>ei^%P>e)tSM1xN$6}3 z9-&L%9?B^W9J*(rLEmc2i^2Q{TJ^Q-5{JE4e2|p9J)B~Q#t15|S5Go1QoJDfaqYT3 z{Fv%aB&7zZddi-yGZ8AhVDS=PwB9MmtyNg9I5)GlaV?klG#=hT1+l1vrQdAj#}i`T z3nryG<(++!gxC96JHEJ5WN`|;c9S?Bp=P*Uy-XfbFF43FGeC$i$T&fK2dMnJ z$`e1p=x)_dSdn#**V6)@aVUxpF586HmU%RJt=_v1U2=n? z^Yrpj)jN>doGTy|^e^rRO6Rtc!nm-pWSvVTl1FL zt%a?QeRG;G!f(6t=eTVVAyFyqK4ci$#c&UUXWdDU#=>3Py2^&eea|K8;!Tk$x#SBc z+AZ$A@Wc=0-b9wH=7YG~nlt48s2NXJe90=m0Ov4q$LdOzLp9Rlw&VE@Ju)=3j)glb zcx=&1nxQH$@86MY>I)pJ$(SDX7%5T@^GZ0o5c9{v6(P6CAN-dGr_88w|_gj zZv#(GQ=pbfP5qcG)fw!!0>XlQ-Gwed?EHAs487k$8gws13!Sk^0~9Y$TCl*Cb-#=Y zWU^cYGymFNe{N9;S&ph4Or?9 zIbn!q!^b$re>Tv&&;EBzir zXD!Dl*Ywr+fFYw+?2QTC1qGzU!~%cmX;t+};$qTp^oH?AV8kHJV1x@%r3hmhO=jX< zKgbw9XZ*@+1zsef@lPsbk>WZJEfv}bEZG%%@O)f|`VnX=s!GOw@QweyQN8JzdBY}j zLcx?hRdM2iYLn~Gs|x+Cavab`53i-uL_v6Y%Z#M5m5B|$m9Xj-Soi_S= zM|EBAr09}QxA;BESJUs9js4>GcG(q-JGdwvsn!uE^8eIK-fzAsJblUy4m0?l7QlX* zdbZM_c4Q+ptsnm>V$$6Wo3R^{Sen3w6v&UNP3OvxlUotb>hzF0yUPCU{jD&zCD5JX zAQgYuhI93eiVNpwidBqP)Mq^jFSa0wTyCcKf4<}PKGekqVF&!WE%^jGt~ExtcE3Zy zhJDct4k9B+7_oF;QFH0H*HsWA_?s;qUPrsd6`x)3JMGd2%Nop(FOzA^vDjqE!Tk;t z&DzN=vPZ(L|MPR5$KsWk|H5sN%j459%~$%xPo6F1t8=oVDcWBQY6b$t^DTz8E|<{J zZ+%+qt$QVr=?f+p%rE)bT-7!s2)Yurmv+K#RL$v!1IIHe3k%i4* z)wWh+b8w%+H!_@h@Ayl1q2C2sCeJVsqKtwZehoN|{u3N*hjS}%u~(75ZL(w#gJ17D z$Xn8{)-16{>CV~$(B+<3ADFC}U^&?tB;o!Qe*xZg_HvvT5A*`=x1|I0<@}AsTbvi; zAc7s_68Mm$DyNvZmehoFaE`_ULvJ?(QIF?P&R1pWp%*CsR$zT*2-}DVakm9a2(TEJ zceh63kT$Q;tb;12`|chyKO&x$6w9-xf=`9n?@g~_zTP-7gimr=OHERXIjzW~u&kG;&(1~-zKLt?$lEP6OX7eWGpTSBHM)!47kEbT^sgm(t3j`ppN4NNh z)nXi@tv5-)7fb#QpAF^h>!>&5K+5T0)9z~gAFmz z%+Rw!2`7DS>n^f_S!f_sarWgsh3+cd`qgu4=MWgE{W0ZDTTcIqMd9Ps6O@dPAsf8& zVnSaXnJF9eZCb`SU#V%7Zo6~!($$4EQrKd>mlb*|?Kbm4Kel0fEE?y;MD*$ynuMqj z0is4wK~by?$gkL!hASYhhd3u9K$H@OLMIA)VZyT8T#ui2J_zfyC=--GjMBPu;v@$1 zv@P)H?|0=9^5K{MZvU?0g$Y8&$rT+wYEghY?;)3WN|ix8>Qt{4Y=eGZ$zn_KBS)2- zN;(Pe_13#5dAfim)UyRRR9$vrJYrc3^pNho3kkTH-X5wk{f-ch6Xm{o7l~f2zL-Q! zaen^*+K3y=Txo1YiKTnUJDq=J2lovP6^Mm_%x8(@^yP;=wjY(ZpAVByumAD}p`pP) zMB{gHD~pNxZt?j7ph;Vnj~$$Z1d;k}p{slQH!K$!GGi{}>M`mqxq>Op!*dvI11mNb zvJ3Q9JXmdg^>xH%1$gJg*T1F;^rNf@*{+rvhBm(ozv$Q2GL!u`Q?o+qOaoJ2Msru3 zOIYBG@RzV>h20SGbbe?%Vj5O=pZ-enfz!`)VSs(~r|wGnTYI!mgkH@G^QP;L2KA%$ z)EH@4Eb5AgX9H;85eGX)nK{}TAx_=k?K>ZlKovwYFe@u3VmrIfRTO;Uww~K1Dhe8K z+=kAH+IzacLQqR?kA?ZTEywd(WF?q_z&)+~US)V%tFx-oUO0PncBm!yP2~F&XgHB- zDGv6J90Z$+g>pXANksm|`w`>SII=M{_fG>jz+?MtGy^0+9`7RE@)$`ID19x$l*GVn zp5i(z5Dj*%Ky>o7bWiwAZyp0H`8v8kKDtoY*()YQcj8jsLI{Tzi7-%8>$;Teu_A z=XmfE=O;xcymjV<*GMnXu=*QGfRjs+Q=)g@ zd+*><;>7|sb*e|t&5;Dhp_DBnv%!U}^B!qIEYY8Lsd&ubYv5P)$2T#TxCD&$X|sbx z$>h?L#+Pg5AAT6FKO7muI675_J5DI_7?qS(vol-P$CpOnmGt6ezH^0H12ye=!qoF# zK~INPP*L}>bPg#eVc_sXg2~jFz*yt3B)r{nbO)W=S=DSRKGzyF^5;4mnI8$ zIDQG)51!k7bKITQi1L3GQdGM(mzKq*SQPI3F8k?|BlICLXAeOt>p6CsWzaGVz;qpW z?_1i)J;)6=zm?$ur80M1T<$ilj1MA;ku?#TI^08GB7B7nLbeWF&mq#&NaO&Wv-MqGoZvFzPP|3e;G*>`gmD}qo(4ZUU=aIu-7XGtRkHZMr z$s3o%G+=BecT$l`6YNwm`ztFW@`@I6cloA{cX3RJq{dxth$GphPdxh`Q~?&QjT+>9 zjAOfW793om^h)rNd(~OD1{)<|0eVk>@|gvjmq0(7Ss;4OEJy-^^4h|V^rYZZ$ZoX= z;~_j%Xu^6I=zF(DXL#ncGdlJs&cP{!gQse1bgaE!GW_tp-G%YpDgmayprOHi@@*`K@N;IIMKHo3Z*X@b*57YFwjm^4ZkQm#h{Hret9nK02>k>nxpWX%c4R z_kFnL{!mg6WP8?H2Hn1SzeR(k5FrAVmC5MM>DACSn_hjl;i?4za)e9hiDf8G2S$`9 zJCNk=6DR|nZ~OyL)0v^k<{xF{NF|KQ?3;8LaJP$9_+*iH^i00#5@9B+8nOJ)mo%am zO@T)3s0H2y>DQ@avT+o{LeZrHAn0Bbb^o5RXgEsT9Z}(Rc{J*tqAzA0==(QN4(DWE zFdIBYX=qSf+K1-_y9y(85WZuCtu0qT+=-rQN-I}C_xz_rZ>t{DIebo@WlieJbvi-j z%V;(}O-C&Jjm0}QtX1ciW}9tm8ClgN7@h^7Z)CMLUUPeCW@ChFy$nAg(@(lRMw0yo zYGWgW+a)i!F4&!SU(|2B#!*F6&(R06Bz03wVYbi6q(1foSN?UMs*M3x1lM@!P2B8B z3`|J7ruyzP*$pXsvDA=$Z#A%wlie*ch|GL0lihY^y|e~PvYvb<5`#tv^n2&sfvK=JyI3-ReSeQMkNeM*}*Xw^&ML8IGsh%gkIP)3^cK z3r5kdB|oD_^=3!%cA_8B@$ZB6L{pzXVS}s%E~Ndc!&jkP5E8 z_Y`tNhE*+IRkzG)_d2Lx*Bb<-gOLmh3GHuAj4u~406=?pSP$}*kX+6CKeP(LonFF_ zh_)i$d6`VT8wORCrN~OHXi##ZQzA)bjZ8;=-v!VLM`*omy32;+g2;wf$gI(h`RtMU zB-^)TRaz@?`y1;kc~c?g-nBr`JyJNc^ov-o3DD(W9&c@PT$Ts9t9cnh<-vgv2;ZsK z0G|PXEK;r9-%;6%avX0C*Teo`HdJycmSTLw`kY!Q_$}>_ocj+2I@cD2BQ1^j&$ljA z1NJlRlxdT$^rj?GrqI{4|xR=*@p6_?ix! zz~sBMq{npUZ{R)AAZjkEjw@Kk9KZ>u&3r@15Qne2X+GsxYpw_E`r9Alp2{bS1Uvqy zfFa9XY!{-izSZb6yw$!lmiQAo%lIk%L48_X?7=#_A@><^;VkoagTSSC|Ae&VVdrzP zN&3CjKmj74?$l#&B|_U%_-%1Zl*W{mv3){l#8hee8bmQpX?T?Qc z>H?7o0YsaB!_m-tzmZu{t9Vr_(7M>O{>4n<;GV;v?IBR~&N>PiL&SLHD& z;C8!s1;K%*$kPo07nR$?zh$}PQ@mnJijT@|6=gWW74|upfV=fvsJGV>7h|VQJs*}n zBZ%1Cz4W_zY|mXfb3djwSdkk%z%RMl7>pY`hXYyE!pXQdVddT=ob{Y}hv4Zx)n^U; zPTa}U7Iz3zsV*blkO!4&&3-42{&Ls#+p9|qfh~6QCE+#CK<2ZXj$Fp=a)V`#^rS|9 z>Noi+?1YZY`Y?h}RgJIQ=^yK~kWmsOg`<>kaoAmORELV5l@D(;abx4g0=`nd~U-?RgKg}nn0 zXyIbTDz{|>ct0w^YvHa3fn1C?;z!Qcc-yg83hT-7m8;UAJER2K>zY#{{&|kzOl~&o zwdI?GPCvn@0@|MLPQ!$HBAniM5GXEM%Rcev2C893(q_|1f3ve0 zkzvM^V1mrNQfg2BbX2Tb;-s@$Grj+SQxYO+zK}%?A98!Glk|*^wub%pXaC0Tg!g|2 zc@F9Y`U;-au0EvSZz?uZyNSG_Fia`$Wn9^KGFgMd-c455?L(XQ=D-yZysBc9l2 zrI~(g*^lZx-S@L_59i?iFPAzw!D{SYG0$HYoh#hh^Koy{kHLAP;T0x7#yqwJT@fwFm)NZ^CL%u9F|Uu(2p^ljY-}A;D96o)!^1m)x}Sr z1w1;?~7Swzt~T}3U+xUp7FT66BiT`p|c5`{^2IkDe?ogV}sz2C-Te8L@B!2g~jI%7K7j;@?8 z_HIW;zyy$@fqsh(6#c(vdrj)ZVD;IZJEVURuR8LXG~X~6u= z=6kw!^iyY&#jDb=QShrZ2*a_EKFC*-z5a zd7zr~d=EtCy%F0ufh}zdGOSOL#A+2h+)MV1TYMZ<6?vNbox_`OA>@78)L}x<6H@a$ z{n{WYVAf5g`Wp9Ggn5lY+0A+ad7^gBHmoNaBj6V#JqG9ik$;d<3==zX)fU_vp%N$7 zDZZpXS^g=)M)t4ZuT#F}C^LDpJu0G7*+P^r_l<5noyZ6LsKp`@lJiks8S*6hMM7Vx z>b0MxCJfbH^y}xJI7ciUV$v7*9@hQqh4MwVrf;WGDaBS97ET&5f@VU8|C4yY`6f{^ zdm2JpaF}Y6Y&x?y{8Bulo$Y_TdNXD|II;$$gMdpT&mY{$7i91h~Jq z2tI1yasHa&cGv_2{uy?bZtH0lAPOhz)BfuMZI<-u=mjxT@5 zUxW{u`*-S+0y3Qq=Xt$jfESGQa5x9o; zd3`Qt2o96A=gTPaBG`i;C3GlK(w^T1gYVCbJ!zR|)7J6Wk2E)1LZ`-jfzgqt{?doXuw=r3d^*(Z3eB7qe5Sc~<$2{}B7<-MXhF0s=h1>5s}(fF3#@dY~` zn*kt7F@Q~dTmiL|K&24teIF(|M>;ztc~~K(Nxq%7h#8b2Pn;uiXA)Z@AOqb4i*+Y3 zDPa8Y7-8_Q%<%(0>s=V&eK9`Cxj)#}=ziT(&r#3!ZL5a?PzDpoIA~1arGQ+pkETH0 zyIw$Z{;_3h-DunNeq$n?ck_L;A`-y7lmU`2_Ai}|PS>N)tc(DnSeL7_`=O&Z@NKYE zZ!VN{pN%@m9VNOzDhwXD{iJg!Hg43$?l0<`dso&2xH8P$MKAqmf;igw?*FX_JA$`A ziBHRX`Ps*nn>d95xZRp^J}wTEeh^NLl<4YTumvNwIaEy1!)D0=4OLbrftuAtzI=mS zhsW^h>LO~a{bSv}lx(@gke&2G!HLJft|@S3vc|i(VT8+;BIpL>BAdwiyt4}}nUwG^sS!q99roO9x)59yZVc1Ko z*K-fMJrP-naI5NQ3LG1|Rek{hjY%_8VvWD5GsX5-(TJpbZ{rQuwMxL#z~=}Tf${_>*~GckODGsM5NJIQ~~4X&8`&Y9@n0ed`zRH z06i7m^iA3Oqx<}Z8XR0ZM1oro|Z!$K5gkrzMG5wUCwg|9gco*_<{25kIoC19Cg(8cfnaXUpt!=vQfu`YfX&rB_Z={{%wHuKr-6p8+>@GCM%j*RA-mU)*~`^s335H6h#_>&Hdt~c;gY0DRI5|1j^ z82d05Wjj(;i_s=-k;EqcVp79vwnIOZN@H!P-^S;?%S)hYg!W2EgF>b9_8S&Wg1CM! z3h|qe&2pvRexE>+baQMs{*Zt1c&~%{lT=+^D?9V$#z7n36Xl*zw+)W!>l*n!suz}6 zONlP2^NCfhsq_6gmfy9J>C3H736C!eYZw8>%mL7TK-%_fAl&_}@vY`Bs|Wm%cw($2 z@M$M&ku4vd<+_iQJvKvz#LG^1he!6pKwsta+=hTel!FI<*rY=u$)BCv`R(yB!Ct{; zzMd=f>XN~i0H%1+9h>?Cukf&>#R6<$PB;ED@qPb++x?()2sDXGn!g|}*yDsRSHi2f zD6}8c#~yvJgeKw*0;dkQB4!5g>A&sh;Ge_oee3pKHXX0E7lHV@^7r(lAtC}K5_9Qv zy}`_atQ=X_xTuH#8?RlSi2D0;b&kW@Kq>-&@<_Ub<4adoCsV=r7)}@Vk}vo}J0B#n z?5D2sRLvNr+XavjY{W{rK{G8O47PiuNrZBXND6ctZ_%ES=yAD66@BgJNJwe^8BKY$ zP4bg>rhAN?T`ScGpElS5W#MU@{Yhrb5dndsjmuQwtNCZ>jZ-69G`yAyETF?*W4gHY z9O<+cRk&_jk3SNi16y})H+&0tbG_M&|2zO}p9J`Ae}Zc>V6ZxSQM{6E>-&}08}c#PD`4FR0-m2|JT76`m0y0d`x4xF8^8}G-KCvQNc|8(_iWc02a z8qq$rzp|;pJYKn40O3X#r1TF!#e>iVTbV~$ns@TnZ!y^|vVJa`$CE{#YM984YG}5) zZEaRhy}o%VS5{!sc+Df+y?+IwG)(<;AbCi&vi?7st}3XFMhoNaP^4&~SaFBqZE>f# zJ1t%`xVyVsk(T0a!QHLJ3GNnL19$(2JIO;PlT5Oi?AdcZJ8$;TM_X{Db^~cpzk=?V zJw2U-%raGFNzEVKXe$?J2i37>qe~cW)EkC7d&~1+(*XVeNXQSv{UJ$Pcakmp znQPU7`KlJnQ{Qb6m_9q4Sajt&Vb0dANfGMHYq_V6EBXZY+7Ru1zse=Q818|=zX5t@ zvp1PfM&Bzp=BQyMH& za3|lIf?a3N)U_b@sfZU=MywUQv-6wM0@v^7{?|+aB}VnT{SY8KW_URcetpAOnkTbR z$DWem$T-)HYI?CnTmcMHfNa*p*@j@s=a_6?CgxYBFTKhwX$Wbq382tN00iC!ja$~R zv78e!kOM7;!0&x!ZMiB|93TCTW?uGos2Rn5KB|-1QAmDqe23L@;uPM$^68+oVlXa^ ztF~~4(1u|@wVF7?AI8JrN2NJh>XUwu0>)BlFNpq>jZk#h2!TF{J71lw!26$#aYBSD zId$J~ttlsDRzN`yXbC~QaN=}>Q z$DRrzWm?8)hIOm)9?i*5e?~qHanLf(ZNV0jlgnD4bQn5+cef`bOF}>1>|A<4?eg>bF^(2W$BU}4J&8w)CT`k@ zrPQA2{<8g_oX%MIk+f7f_uG9tqZkTfr%n-au=;mI!S9wdOJ7)Zgyuww%+2=$LxM6J z5*a)rACh=m#AvtCPHCB9G_W3ALl93=J`>fS|519UPYr(JCW;vql7Dh#h4xN|^= zK;o?bDmR-s*v@H4vW>mT@jfgm?l1jrey{Kzk8OYSG}(yuCcZ|msoYz0aH~=}QSvwG zM*2`Z;dh1PP2{FA&d?u$GA5b%b-bV>9un}^?a+NCzqlkCyu5soz^<3<&jOU{N)=#sY%$%KZ5Xr8nW+r_`6vQ~8JJC#IBr2P^8>#+DpmJvdN7HPcB@eT1 z&>Xq;qZiV~7Ig<2TeSZ57-t0ZFdh<{e?JrLVg~<8zsL_KE$}WSy9c1N##D*x$&c;H z{9e%7?~I z1acEsa2VlNMyIVEbVcRdntVnTlgl0S)tCHNYJaLU$r#hOjxU;3c@+&>PM`0v?++aP zu%byi7$!W@0{^o>CapQ-YzR*U50VGz2g-(SCPd&acyPTv(VJ5rsZxHb?^=zYx!BeL zv3KQy+@An9S|n>3YVqisV}by>p}g*mK$y;o@8^wnqI;A8mn>p{-m8-MPl`L?e=kho zU$r;s*#LvUdJONEc3C>c>;P*}wBHVGg;$^a^T`|S&Hlgd#b6ufroch4aCqS#Zv9^i z(B9j&u^JK!N5o_cyeC!LAT$q9|A1?dW#VF)z}P1{1c6EXPtSj^S`K8`duQkC@`tYs zgk!jG#c&*ra!Kx+?DOVaar`#G3mem$!4XpkHy`PWN8BgA5#6YoANZob;mQ_?L8%&8?JoQxBY=(#FP>8D{bO2D_y7&~b2_8WF>`meO* zSNZT=iv~NvFP#($QEKTe)P%U-khw%Ye4xg2rQcPv6h6bX9B`(Uuj!1jQ%yo-Lf;lB z{ULBvnnI}hSI9G=M(yA8ZSOa-vIV!o#aIrWaBeiv`wQv&6X96so*ozRxWY+=C2yJt znGsW6Tcm(vBeM}Y7*iPmA2K+3oh}be{0f0{B;+~%m@MLHx3-5x2JhtAoVNlZ z%R~W1OA7LR1M7ebFQ@>Tx)0T#kle49gdFmZ<$k8~(Y&i2v1n-M(~3k$^D8bg0?d&a zyken&PI|-!{cB2%8Yd1+2OdrR|@bI%pSm8{Zp8Q{k zq=#&PW@_+Fy4z+@6StPZJOH7%o7wqb`QNUi)IP;O76y|=3LyKM_@6y@i4H{wyir3k z<&{;?)IfBWe-|3-v<{BRQ|%YdkgcU$@|cw!dF|(25>Q4!;b+E<`~to}L*vjut0YTz z8n?6k)X7<;LV5e`ZLEr2kdIC{y(WX_St_L&A~nipf;(kZ$Vh9(oKhsX9eEt@*^ z$=p>ePQ!fZJn|fuNg;^%ZY#W67|*X?9V<=kM#HE4W*>_KPP_Mh(X}Z!F_Ke%sO*4*Gg5Pr^_0}rnqLq6fLQ~1AC9cLZbVX} z&PRAOW#@rc(V-cus-+>SPlYm2J5gw<*=V2sX8G})A~R9% z9X;cbkPpB-xBS>{|8ZyUVSe~v$t%DCmueSdPJLt{=u#S2Qmr2agfsMM0~8cs)kK6l z>$E5NDEw#Gr3jx}=rYGiQeW8b_oWy3MyZ@j?}`6q1GC*!0L-8Af7Ex_JF##Zp#_&Tg%Zfo);qchI$ zHV)ESAA0gCZQ(3hP8fxOw5ia|BDy9p0sjx#y$&VxR#16GL?Om(dQ7;tb$oMUAumKv zjAvrONjw(T%DV0P`924lK<_hY0*?P@fMU$jkrx5S1=ukFedElb76IXZp1j4Ip4^t# zO+XOjga?rDKxUY$yM~&sx^!!0(3|w-dhugV9mAYdZY-+B^Cz~5z;K(}88|G*K9XL3 zD#vni1$`DR95-9K2_+!c?r_eU(|0c>ULVfn`kwT^v*r2N{pJ{uk!@W3lKHa#w%}pM zB8j?$2D^G`3k40iz$`}Wv-05e=Yt3jY;`uvr#wHk8WYYWNo@wqWL-e}%h;Oa6D8<8 zDb(_V>*KcBgIIZvBEfcT<6Sf;I)G<%1Osrucj5|VW?^Y^wGHau2z^xsB#zJ6Z5)#$ z7|8=@8KGiyxP3UGE?CB?J{|8N0o}rl1<2p&nV7=+BYl;xC9*A}9*kYreU0<9Ve4z{ zh=l9ph~gKPHGfwG1a%VScLRw`mZGGJZUedRY_5%LEtxKowL&S`L|6wItT!$m!&(LSeGq(zF7n)G)u0-Uw62*;){MJ~JEKLGXbxSc}{>#0xFi8$_ z$7VY2eWi4bdrGisP!FL@e*cpgc*JxA#o8JXz^#>8yBdyyw1fBd7*x|Xp~)&H4<(x3 zRm3?|c+pF+l;H!!6aZ17+@qB?1{5utxK~3LnCDqTPi@5JOQqV;XU(=*Y63Rl;K$ir4M!zyv((}+x#&EPN2z&=_O97!oO5_XcdQd4Fe0FX>gUqT%|efOKd+Pbh_ixg zV*eY^3dAm~g)|$$h*N-!b32-cJ=BcG3$+;keL?oF#ZJTIp`aLUJ_tdDXi6Q{&1xE8 zUkeKiM+NffiacVe`h+Z?A9soK{tem!x^S9KXA?9+!lIA;pFpNqPieW$r(b|$xQkg+ zURDzBu($c(sfa}ZxoK+*++*OAerwJNP+UsceoISu&la`$4L_k~C}J!eINm!*B>uQ! z#v8F4Q(GU29rwFLXq6q2+v=+3;kGArSsxX@^UQcrzjhVp9wx|$4Tt0HSir*#TI8vG zE#SC*qw=UJ+*Y&Q|jmI{mthY*LGFr|FEcCYkp^F6O$@Z zcY?1*)P(&D+O)n@$C=?CX1 zZd1BFtM6?DX{9Dhx#02aTF8N8(a&w)?67*;BX@OLDVRT6x9oM~-o6Uo0@I+9*N5Kj zjWQG(Ls3w1vq$Po)Vk&D5tejx4lf#!R~(n@$7uL?`H8u9@pV za`;Pid4IB0{{RxMbE@o~fNm%k0u-hAK7yj0gN`!keHbIB(r@ZC5Q`OW{4D%EaTz=$ z!YLL?yvqkcFCxs3hN6d)lH2?VYM>SRCQaBzu~T|Md_APhGf3aNGP?W*KirlWdOIyn$%jjNL{MIEO2{KOTpTIBuGe#Cm6mrph{OIL(iA3f9C zVbHz&w$c2XCWou)s7uMTP=(u5PZG;iUml1w)-3!vc2^4yxnCKHz^gh()x%*b=<~ia z5Npu0{sLR4zg|AXVueakLR@I@mT~GpiCd64$@KC2PV7mY z-jD9YpB@P)3g2H7BP<7a6!*PmcX-6t*MB%4=$dN3lVB%gvq};reB;mdjgUPv@S8pT zg`TyU6#b$LSi;Io;oy6Ds;$IhIf))}5}cPvQz}R~Gg9kxU-owiA7OUO5 z0h^}P`3MbhQi8?plh}TIV;*pWG~OwqfUhYaQ;eA%ckPhIy#0r&{U(UpOz1Ncl0g{W zcIC=f_rK-dqjBi$re-W4_8jAu>)|FQWCWC6dWAfcre9f^X9=wzM|XvO&!nhKd`+g8 z+Ub91FPooU;-}sfZwb5FbY{C+P^7Y!`btWk@FBbG5id2#F>|qGcBHu$>&s53ePHIM z=iJTP$isC_!yO@yNI^m|*O7dak*zG-)+EbYBAt?{I1O=$g7m2+Js`%`x)}<3i23P6FQ$1^G9#rLn;RGH4(E1cIGW7PqV47??$?}b=6$&H*O==Pl zM#7C6)TNf|+NH|>!%;7}58Hl9Hv(Z~pl?47(SiArxF85I3niIQDiKFC>gQlvwd14P zCXTVy4ST7l!GQB|s8VJomoDcY$~_Z2D`_LVQWtL;ES^7_W6j2nR1T?qbsXdrdxXi@ z6e2!&uTYNHh=(f-fs9@6jNWWMNam6Mp1(iGYC2oWuY-ceQ1C6~M<2ZP*Pc5kDD+*9 zuU`IkyZXd9JT<$D1*_g-I%!j5wq!q)GLdVmm7QG5Ko@>_iG@A<4|KkiJ2{sPSym%++dE# zeXQ~BAZsEZn?F@8jFrw`kB6r&YSX(XNSPPs??s0Um9sP31}g6L29G34+OE;w*?`V4 z;X8;GLtfJ&Gq{9Uz@-`)0-0U;CA%Ix_wI|xx7^W`)0AcIdp7J|qwy9<)zM{6=c ze|l2kU}PD=eP;v@`55>28Y4bS`%Si&ntbc;(}JmV7w*Cp%5R4UH1smBqT?fiCsS(e z^tXl&2V{3lsh$gO#%UQ@Jg!L3iMhPmbFkQ;rM!^(krAf*wXK51o3;6q$h%9Svq*vc zOc3>YEpq%)Ca5>An(xuH^!x(t&zZ?B>MI&*kg`#XQ;PWSY#++Z^NNbYY5Co}nTB`V zf79rNWPBJRkK#&XF6>eH>VxY7a6Eb5a+aZQ(I(ZVzPo_~rNne8Our;>swMG;A!yZlFuTv4?p%ltVrHif zT6vAI%lB&o{c`hu*5JK$h9s`{Gp$C)ps3)ex66q=W~(P6~an3RzY#~vxcx>qVrQ~4CmUo8WD2V z#Of?OCz>OyGR<2Lxm*?a2mCh+k%6w&AcJ>lIG?}Xcm7#pne>@ zBx%jAgZ#LsJ44=lO<==LhtJ-A#vOA`?iko?n1{t(Z*x+SpZyB8x@J3XY^k~Nt?||! zL3w>?IHHpYM81NNUyq47qci+EYPraYFKlYD+G-wWr5n)yz@%0?k|n5 z{xp@82NbHuAL84=rYHy-)=Ze|^Ft$I{#QinGI5-x@k&MNl?6-~s#;vGyWhdb$!-T$sl@#l@bFAdo^+$S9%%CF=@o+zO=q(6X z77qt!W5|b9(e!Ic@3W!KlkE~rXDp*1f%-=r?y9(o_$DgzIH+stzkWPL zpVDNaDw>PXK`fL_()LHix^2@M%s)jmRHHHTsEpoXX08aozqX#442I8j_9QEQ*8dG7 za7MJE1_$!la=E@FT(y4+>Ssq1MqCV&4!VQJ>2vw4a3WQ=7@oSU>PYr~F0_&w1R+{! zJ;&o}{1?c(ZppE>5lX+MWyt8 zGcVpTWkg-~TB4Dj$`F4CdEvs@wTz`?VMTaI$8v71oGqd3XeioA{6MvJ3Yana$3enx zPfDx}&@JP{5u$prj)u<#mBDY!*)DJ%YiE`8PfmEeE=I&#m)I{@7y96$XovbO5dH5O zg=>|RN3l^B6-V-kAxH(@a@hNwrPSo>{NFYczM%Z3{1R~=)v)m>aon(+pr&S2?f2<2fB&Blh{bNz{{ z^DA#tpZWlvP}y`^O4?t7S1ubB8?Pd0v0(X(uVeGDmRNX%Ep(wE>886TE!(Cd26JXw zQy%{uD2pzqzJZ#n269X@GKi4Kw|Rsb>t1uJ4^nYEsni z5NBVOA3NjC{*jfNSbf`Ny6nZZa=M>ion~_85hsQ5i<AVuTLp0;LVCxFxtlQSYIwysv7J#_VC-t_smy_ErT$_JeLm3j#h0@PN zG)KBMj7qG>_#h&C6fl`U9r)kX+f&;s7Wfqewx6#=M~4x!Nh*vWgHd%e0^ zg>@B-S$QM8D#^%0IhV~xIhFd@y1>fwyN~S#_yAM^BNw_hKU1zhsW<`S5^n>sAQKE0 z3@J#`>f&eTqp zd7>_0=1zU;>TOJ6)f3hb+AOLmT7T5-sHBtOjTd`s+iL8 zt9JdAU2;KM|3Yg?O|aquTd?~jF%&9LfEwZZpml37w|UY!$A{tbStNnkzmX%-nBrXm zl{`iN?Q`!HO6_eb;=$n&zh%S;w<9&GvKT))!^ULwdH=zJ$4{|cQ*k< z1?i_lQ;(U6VP}lER)WqN$lsavEvnXA!)R5MY8Cweqq^j!k|zGU@sSgLJev2;+=3g+ zzbGPGnD=n)&6;#~EP9&6I&WI$hHzW0m(pHy&f5ctnO7lkdwwvW|R>@+x zpbi<`EKhrplzO*M8l%Sul|P>qnIn*_)(bI25uyuVM6r1Sx3a}C;p}w9Hf<|!#h(&b zIb1MUrf4hDh2Fx2c1F&U2Y`@-5=9ic%iYFA8G8~Nl4WDo3M^={wg<>(UIN1KDh=Qv z^<1lyvJn?(hjC8};~*9@zAhX`N0H@a=S{xeYzZ6wm|pdBOycdz-UMC<5tMkVav6`Y zC@rmx-+adEk!)a*C)VS{-wJ~uCBESk`Z+2{zt4W;dEVZ*$%0hZ(*2NP1)lYLr^#Ty z1ts;%TxH9#QU0(RW;f-?5u0&LGkVtFjr=vbLCP9JE(X$%rIn%wy35#jHFn=|&pQ3V zJ1pif$bFNfozGK32tn9+l&+rEPAJ_=cry00lQV+Hx^P_WQ&({N8ReBvhTYp*u|Yuu zrgODB#SJ#Ml9Rxygim0^l>%76yrUuO^!Yr9LyTF#EO7mGBKas7lsm0`GV(h~1YI(V zbuCK{8Y&iL^?0~LDCv!qzoP)-*7<2W?d?1wTDVGGUeL;A;C$V_q%hiCWnDP@^-@^s zri6VeIqe&0YAOl+0Rx3vQy8gfZ*mUW_Rq09m3%$?;Yvk9nymDyG}qV0X{ypek=5aR{Q^w4R*-&I9s#c`P1PMC zjHX|_THFELJ9A1v|MTEZp2QR8IEU!@E-1iwZ}qKX@A5xbi`jN*laKZZt8mkpndLx4 zDluHsH9?dCj-bhy!0JBX$Gz>D!S^qo&nx#m{Y5RukRPihD$i+2%gQN4f1j53VV};d zwnng8d#6NQU+a$%OXEky0%uK(K4#I_Y2EpXdn?%#PqQQH%>Dy*N3`QN4)DQs_dYQG z)GPa`%geRKi<+-d7ex-P(wZ2{u7$1lWO4`*N3G+X3i7R1TZPtI=$On(LyWq89lbSSm7_{XcZygC8 zRka3JcKY|Of;<^Fy5n&+?!=%^8G@?XFy6Gr+oa^bU_O!B1w}=;p@Hrx!T( z-WZNFd6Ms&$O1)4q89&cr8kfHr6Ifibo@5{w;=!d%=gn`P1AK*wB&(q9gza@or%c= zTw8nFOvaxgzk;}qry#6!(jQY6?vBM}n;WOR`-c5(8e*As8fa&ok3>}&5hHCNX z!0#oeZS0j9@?5LwtTuBa%<2f9#k^438@cuYw$xO zd7C_*+Txe{<#@+IO5^tWRjnv7eb1>R2k^tf!j{yOIN7TR;7ugC&*nJ47HJ6-7yKy2 zE9sxoBoAT{Du$+wZDPNQlz&{cj#{3xBxoyu6j+}mD2VW^Nk)nA7 zaXj>V7PUpduXcbcVV541L{e;4Sm*cGZyMyt?meZyY_Tw9De-2{9UKV4>kd$`8CGh| z%&1B62xRY(NX5l)kLL?eD|TOBbM1HricGCJUr+g5%q{vo$)cZw;55c8VV<9@@TNw) zrukb#$}1BCMAxZ?P+y-ct5Q@hC$5ecIxvr?$m@d1Df*A3OqD&@E*Y}shFI74Tvu#L zq6n1_B#emm{;u^F-(BrZp{j+MPna`ZQh3%&IN%2Uwy;WY+_^OcS`+jG9B3Hji6#iK zd$x+op!Nr$SNfga$o;#B3`a{jJ8`Je2$f1`?hU=Wz4M>AM-58zr!z_OKY@>d=su_V zccxw+uVv(@^Xh^xh|%HlJk(8bs0hHC#(zQe^UV@nzK$2ZQh(5Sxg!NCs04G>c&shk z;2MYiuLW>lMRx4On;VKN&^zVy-mKLX6ZMBg4r5B!FE#kR8`AE2;Co&JF8=Md%)qxY z8@Wm|8Ij}Tr7GVR#yuIR5(U}Lso3zPs$g|w}k@`dG0Pe@uDXE-KaC`h362>3P8 zI>Gx2I&~njCLp`c^?>-b zmw&V|(OX^KLPJN|+!ZAZKX*`w7Jv$gZz?;q7UKzSmv zg3e#KGNv7^MeJi{>l?_+Cl92iW*u_eyg2?t0wPB~qILT}b-%GHgR#2QIb&f_V}AFC z@{ErJbv|4rCD%S)x;ocIv229y9c?b{TYRj-+A;C|89PI%Fx#sFe{MoyMJ%LS;8K>u z8rtkFIJn}M_b($FO=cwNU&r=n54>%~XE41=#BGG)R^>zV1+l_6&2BeJO}`5lThJYa z^_J%TwZ_<(0zGKum#7uzVlj2eu(L-1)U|RPZ*cVy+8wYu~ zSTw}D?E6QA&r~`#DLKDvR@8F6G}c|(z8v~~Ad((o;h$H3_w={FvHCcvC&wLxX;?PZ zIol6Q$$Mv)jQR96Ot`ZRllpNH`=HEiTdDeRNXn7iIa1J)%;^4^WFxlvY-rujUOPfS z3OgmH>(LOI!(LWb1=#GWMQ88WT z=zQeb`3?&HQq(Xm>*yY=Bv7(2TZEUp|AgSG8-M8fphSMh@5=tmA$9hbAY>LUN~m0J zafF31FVBg!Ox?awzVxtZ_UxZXx`~*07JegSU7K{x54RS|=G0jerMk+>R+8)_F^6W$ z&st@)Q83^=A3Nxy$Sidwp z!mL!V1xu-{9Txm~6)1g6y5_n;HxA1agJL9pe z+p=BmVRFS-jrudtYle5t)*KA)!Z|mL!_!{ne*=fI&@m?76Hu`?LIi09DroD44OSI- zFEBybEj5t|7`nJPsWO@v)nvkZM<$YH-gtX%?q*q%DGOeFu}CTO@Z|WfviZEWB>hSM z?zyg1E1>ma!=q*|zV=I^i#ez(ru^i;#rHeES8bKif-sAFR^>6hZKmvCXg~yhPfeU6RzT^RO_74JL zG`T>8)18vvJ~M7=Xzmz}s169Ry9-<8Esge%gD=+M9y_l4TV6;;iM*-3?O%9Q26_X0sRG55aUDX@P^FkFV}aRu2D?k z0-nPN<`fGPM>A-Sm9K0{x-reL*owMV=AFs%B|;rjLR**PxauJnt_$70X;GlK z*AzoUh$PvhZzW}ay>j0Kh0VS>0&EfiZ0@huNff8-su#KKtTC94zTfE%$01z5aHk?% z02MGM8#u3ewXa8Fp6aPla5c|tMpJZn7?$}l|D8pR$f{}5Rm>g%WaY|nItbLf{%oUW zV(Q0xt5vsZiaOJaNdTmN@?*TItNQLMx5*|(6GMwg<_Xj4#Z{Ims#!xjSKXq>z?73% z9TzCnqG!7R6}|tm>ri|yVdLQsd&X7oVy-*ggYNc^HM6+WDGr=Su&C9!_0?5>MTzJQ z#u$I)hFSyX$RGZ?J50WU!}L#5eXmu%j^?2b1v%1T>HOO4FDOL-KGM~xr~G|#wHqIf ze4Hz5;=2aG|Ktfn-w7}>Iu|a|+d z?A)FLfNlRR&qPsGGN-2rf_{@>xKfu3HXu}$4_G?OGuh{COHO2gI9o`B@+hhZsGS~g zE@$GSz1fk1pUiex7u%PvfDqXoc<*OJ0)H~qz^ZQ`IVdm;j1>~njxp~a15(<5>3G~&%A=igsaZrrE;6Le} zDUOCq{ZO~5dDrjF01NXndUT)u0@X!n5~_*p47lQUr;)O1#)l8yw|Cn8^X~(I9|x}< z`dO*AZy-dg9QAH^em*c>i)RsY{$6uuD9A+3$b#b7pGJkCjYkN1$ z+0oZ-h6B&TbAZ8WXwdg~g~A0blH*xacG|`MQhHON&c#T)54XsEoL=%355}(m@lLxo z`L;&G-f`wkZ|eT-9(kI8Sl7@UQGHbTJ#?O49aHb->*>X8$3`#MNXs^QPivWijS1R`PjJHyb@g2C znQ)8EaNbcI=GcWTmWxckQP56BOcKiUZnMY1!7pI@aEfQZDA%Zc6mY3FBL^p`Y#2{l z0Km6a+79@mmyrW(4TQ@(8=z&O%($T>&@r%u=KcD6N+Ca*4UXR$K@@8Se_mxfrgwqh z4Hs_FfE=gzci|GGMJw@{0Vd{OhXjRvzPjty-fPlaxYulZ)2os+f_yAsV+A@g;{9F;gEIH z(1zC+&^}hsiPlkV?211Ta6$AE&EK}z*&=}DP9JFM;xFc5Ixsi2qCtHSPZ-l`*Nk5k z=tYSyij&s59KC)(IK@pLtT6C(uwIiV<%M;sIS3pgu#rC6J*Ly;i;1$XX`%raRm-bH z5B`n<-h9Ms6;rui!}P->@!iKYxB(!WFz+++KHXn;dR~jH@v6Sf6kii^C;2hKa%+TH zN!p~W;h_3<>TUZ8EN0X3N3dxA9H-D!Li1-S^87( zu1XwDm7q!9&AX|o`;=}c3RFHIIs1#5Gu%K{bWUYg(6Zc=4;!_|wIE2FAQ#7o&gi`|QX4hPY=~t2i`I^`STSuOq41et#k#VW>4V zZD{*dD*@(|s27!}(uUNSX$QTmBGD=bnn8^vSOR2RQ5$}X9zu@;;VD1Vmwv8%F#K1K zoe6}YbiOqa|Eyuf-zvl)Wt!AfVRlAXXqLYGmNM;qQmx0jXv_Y~1spnu17Azur*Qe7 zcG-J1WIVwN2W%OVw<(LPbO!!oS}|u-v4yT8C_yv;2pl)z??>5Wbfxx}m;>3QH$_C{ z!rsP$eH`*FbsWN2Z$NBilFS_tjYr?)Ji(2i_6BB)0*BDFE=I6nScqU~I5yqo#fGwg z9k`T_c6KS;bjX+el87HzKR!BXOC$q6TNaICTXFaXP-#Zk_vr!wURQZFhjs4SOL^V% z38_>)K!hk#kbv!{P^G7lftZ(WUVl%?=(hBPXjH8fSX4DjEf_ zK(#O6cbu7ovn>5q{B~LrQ#wiF4Z!Bwkd?bCB*-LRS<9R_2_>f69Y?%=Z z7g1q2Ii7~e`nE@>sd(i|S^nh~m83qkI~{J)9isKa^t%bir2~Il=Al(m0rH@#ti-F@sS}>Nr$;7F7o26whSCBUb&wtW}*l56$Ct7FMdi~U7WVi8}t1CzOEV*5ufwrW9$HV@bWoEC-hj(Cm!aK;zJX15m4Rk%-s^PZw;U*LDHZf0-REPn{htxBhl+p!7%i zX@9H0W+ZC1i!}Mvc(ym+;|1Uaj~h~z?JXEf9|J#D!Kn48>x;vRhT_@+(P?|RGb0&q z#UxjjepUA?Oo?FDm4Q?E@jR;~-S;-@N<*dC0N4D^!%m3eEawA^0F1EG|-Nr_$_V!)0_L7h38UwmcA~L zmU?=YwpRt;Pun}NWWncqd;{kZ>MaugLq&aKWDoy4974Y_tdat)rdnme?F3feG2;BF zyXT(#mi2tWYjdAF)K?_9Y4V@Pe>E03T)jq>GJ`}#nMvI!ww_vV6UuboMdtN&iojJE z_z`<)U$^O64#ujQWYtCKIy3Y5_o9sBX2u$aIZ}U*ZcdMV-BAv)D6FUnmfW0pl>{x>A{+%Lmb%24-yEYdbhe=XyiO)_*XwR{_sE4Br5pLHFq z`1FpFXx6!&jX2Wbosy@V61uo0opkxl)6>tw0_0cpjgtRJaCe3gCtrHQf7JSASB=6E zzWwTEaFIAxb8|#q3$PH%f}2S3&G+_Yb6QPya=2lTHh5d(#`&Buus1Le z<;cW1B3dkS`?&iZJJ?T2e7?)Fqldm8(HS1{o8eE02EIxdytobhwuXVb_7A?q)$EL7)2}oo)|Ve%$$b)3ti9QmTKjGGCCCQbYojs#}lDI~IXU=+024 zJo%jsB%SvEy!#sC^ICQ%J__Z5AHx@blEHg8i<^pL3l7Z(VCTOesSFiB?nt)uS<_g}q*;dx_ZGQ7uP=8*cUI$Kd>cOTdY;&`^xOLxG zOZ1md)O&|<7g{uw0+4`wNFhNa0pt&rsW!URmINIU2aniw?Zg?CiB<0~y+SPFGA(#w zTlSm0j*QT-u5#zHd8y7k^Pph84GgkKkg@iDv z!KF-k9ruPczg|Ts1hvZtiNjB>n!Fn^;0^E!!*!VcSAHY;-%>wO{=scbZ_7B{U(kUb z9KrldUC%qlJ&5A>)-vJL89M}BM*J_Mve;Y5NN}|pZfr?TUe&Ta>!3j?Pn6`q`SIQ> z#FewKw<%#*5)qTSlzex^6y^(g!dy*`V0s9}Fw?YEA1=IZXtzNi()DA%W|-$pw^S>2 z|GFIP$XYH-GJB%gAYKW*bDK;_1hcavZh6U*vtAv}1%b7XRr}1C2M)z)KTplT_u}7y zFwBm~;66lXY~%Lg1JQaD?B{Tg9nPeax6KF0&|U22C9d(7mlXFG?QReiSQH1PL!Dnr zbfVbXcr@=9biTM>VPVsHmzIk2gUi=CM55at!Je563+Wcdie$s>FV5H1WZl4wg%1f+ z*Mf=g^dP0NSDDTYme<%$DPyhk=M?|Fw!K~au;c{AEELlmx}~IBZ1g6Al|R**u6YS# zM*YmHJXstP-?P+2A+ka#tG5r4@Q0U}%0bS|G|J{Tiey{i7tR^Y7>Eeb!E1nF&!E$V z%JNUTgf%{NR-S`UHL@ayQn>fwA&&w11%7d-rD6{`bdXPjW5ml_vD}b%;(LzGqOBk( zSQ3vk6(i6NOhdrpLl1|D%7D97Jivi2>$#)W>yl21q?}c4K`<(5w^ACb4IoU_iNR5Z z858_)OlZg}+Ug(N-*E@uInVy^o$c4~e`-{7>H*r)4v=3ZDmCa_(_Jd~&=!EA`_}GG z`FtJHfGJC8iJeiwbn6V2QtVk2WJJV-VY7wznOxsD6%@6MnCj9GE>^BZcYu&;|8VkNT zNAt(6@Fu^vYWq`9)~#ZQGc-+wdPh9TQR5USuw<#N>5kZ0;j8dVSq(vig6Q8qWyO05 zF$o%wC9|OWV*tsY)*_YJt2nlsQYKgRV*j}xpESbvcuHwYS=SueSzOBsi*Q#i98a!S zI4QFZLb}_R$48TkhH3W7_#pU}O;s@%I|gcZz5?-xjNt+gqCDImD3mtkBEEx5N)_b= zjWq{9?8$d!x|Yb^+*q`4mR!t#Ov>V$eYA;f{-tG(F7v7g8eDkK-<~I3xSqDa(O0*j1^ae~fvof!)9>07$cAPy>pZ*> z{!g204y8ScAP1;qn7^&4!=iieKz6R(2+k2GTUmKQ#LYhViY%juid3eqJ(K z^}+0m;UXKYoS!p)D}4)_95(IH4JS1dH3|1oL6d+BdKI@w%MF@yqh#N7}o-PE|QYoPhW@Xr$+d)$?&wRe}4aR2x|El+RQJ{ zRi?*d4ojwf?=9(RV+RAkc8wLA!~M?99u#k=>Wp4Xb7b*8 zs9u)esEhn7w$I}&zKLKQoiC2$lJ9JkSOu6@SL@IP{b?Gt2J|o-#GUJeRut?MB2M47fv3dPUrv8 zbe2JJbN~U)CNmq>^NlSLNvhuFHXnMT#_46|z@Gh%`F9zs18p`xKZk`mU zQb2VH%bltrFwx}nA~zbqLP}26(G~sv&8*uja1RQcT?Y>Do0Ka&7$0#uXPJL>9%N;I z*YQq2Ao|}boNUJ-?vi%OEzg(V#RO97?`COhFmo@PY3YH?$VP3yOtTBDug(RwbsV}s zeX`+tqlF15D|@JLkhduu?iDi{@i)BS=?SbkZTLFdw*F#5o4u`<5&r!cbZ1M&xglmG z!01(ggL|FMuy&Ry7FyX`AfV}4Ud8@Qj>R-z#}c>&{TnR90#Q@wT0p4ygwR52K*sHR zSq?$Sk91SRv0#=O)1gE;ngk!gGQ`HYnOd;VJB%`hPhRpNsW~Tmzr1?l&~fL?X?E|4 z-MVx6g$<&v{20buXaQkF{YO)DpYRy$*2O$B8jmC~@_dUiFMh&rqPt{#o1l_T zr-JI0+p5&ELr>wh2T_wvz?u?E+y?<$)P>XVcIq1}4CQ@igZyLpk&3oGjKohL#4DAw z(Eek;YW=6T7d`qV%$MWi;`Y5K&!2|KyV)PG*Sw8aCXryU8y~e_qTqQ|_`A3AycD?U$Lzx>e0$(+0ztFVWCC0d2aC9Wt+ zBA+cSuRTWb99`XJsh87tM;U}z2+V3yCP&a)DiYRgxptRtM!PZ?UNfKi9J`C^0U3u< z+alImg1Fm+?XII!QWNe-# zx!|{|1xmND3|AY792g|k)V1QaYiGeN*&B>#UqT8N9^2BnfYVua!^q>F{dKM9QY~@+ zNyanj_hX+1{4!`Q+F)%V_|+MS3;g=~h>Gdh8P_d-5y@|Gp6x@@Ejca49LcIteLmUe zBP7S}diDu!p+JlgW!TV}x=UnM^t9Ssn_^(UUW#32{DG^QU~0pz zP|2rr1;t)Fr)qyb_{Pm#B^gF(z}udh(>wCVW_FI*LrS}mx_c|ex1H{8@7BfikXd`N z2G~8yE!5p3X;r>or(*GYS~@NlNUfoUk&}|tSniZ~k$?v#Xy&mrtvha zD_>`v-$@^OGL1Sta3TD)I2yTFKUs3{cawKkdiw=`;L@ZKw&vY` zwvj;eiu;Slg=1f8?b2}N<0B$WM`t&3mF=A}SGu>hmnX1shseb4jhIwDiVnJJu-bUr zI=_i&i8I-sj}l zd17_;9;dDH3SE`Ue1Emp5wCeRWR(13wW$)%*07lrD3B+cjNg%}V`lu+<;a*Zf%tlB3$ThxtPev^(0K4cceEbk>?Zqo~pW@d)Zydt5F z%CuKF*i!^^3RsKTj0=Wbv{3b28J$Of_d5jIUe=TKmGt8@S7;$@SYn!;0r9(A{m4E+ z$fr+O%Az+eD^CLsUQeB>dSo=Z>s=!D+1rK%-7|L;YcCvy*eY8yMA`nn?#7I_K5s{{ zk)m*^Hng}7Ga%_Tb2$^jB=kehth3icWt*~-)${i>^f`C3OQ<2JltGbig-;t8Yt%e1v6s9j_dq& z=R~fyfRNn4V`e<&s*l=9b^0*0W&V&#G8E^5W0}QB&@@)Ij+CrS%a4xqo;bg^j; z$9Xx=V8IeEa{p&XiUd~iGH^HS3mD2j|1A)ajZRay(Mct}OiZYlaiRwl19v+!5#CBE z)j0KqKyw}z{h$n3)E6u!$F-q1-7U-3mf`E(5+J-hJ zG}YaDzf6P2JQ0nUA?%?bUZqpes-my!0ln(Zzm>k3RWdRw3pK}`1_l|Ms_XvM5fH6= z10K*F4+l9zkYr-=Z^8VQxxgLIMki*b8YM<0H4xtlHPxvp>C9AgR`L}U_3 zWb={Pj~iS6cDB#4?taHI&}mh{^#eA7iu+Dd_iIHt4PSb%(E$#BWtU zHQV1FUU=mYnsn+1`H{~zXM|qM@1bqq5U%lXzJ2=$TL|Oqg8OkP~N8^Pr+ZU!Ma(9&Jc;8?wA^*irl=CRSMnaZyl6e_fkD}64( zPRNG0QD}}O!Z2sJI5nIKWaT&9QQ<}~dy3WrfJ-x=r7pu!GGI-_3O-aa#^qs?H_?!NBeUC z<|<83?PkcNg71Wbkrdd&3y=2of+B zELd~;IZ^>EXcL9W>ey>{G}uf%S28bC$PwdFPBH34AJYv#<8KcWe@O>5Tj|b{gt{Sq z5{}&ue}`Gq?ODirddKS9g#A*w29v5|d7Di5OlMrn0H^}UJQ0FZl3CL@ z!Z=#c2I+@SdMOESx5(nPn|$o=IN{&6fw?mON;L_8+nEf|5v_Kp>$R~#r*Ww}CHV$%Xr8hTXiW|un^{1o=+E{oVl4b3Y9OM%CnA31qqvmHBB zO&CY>m?rBPK5JO;ig76Ec!I2nXesG^?L3t(j+jIc3!DlgQ9mVq{pdc8ZoU%imskrh zMps7~>@~+(??M~5i`(po#AcQOtXotuQoX}+nM6h|h0nb(d|Mb$ivZr45 z-nx*iKt{UVDemUv>kD9>L!o5b;UgrLejr~)miAI`a8B{wfa&8WkHz4oaY+n(A-p&K z(*gY2EJ8SVCIM%4g=ImmhR~4OEg36serzF}V2bcws%77DWyVPnwR44$^cCxZHdDwz z9hrjU%si`66USR2b$;O2U6RVoY@En|B4)Z!d(;M$v-^0ExZQla(XZkgzLbVN6YBRj zgX(gSV2-I5B{{`+c@(mKZc+-YJ{gK~8Ja*gedWR$9HhIN)NFPBCt}X=&#bv8uBkbUXuLuP?iFOsw!M4g7J^l-^Ib}_gP+L&?FGx4dzO7$LlXF>XgoT z48RtW$93>;FcZAck&l}Ku?L>RCWXk4BPY|$jmpR;%ywpYaT@t>DL=iF5%`1W3E_dZ z&6Hh)^eMh5jrllj)?z2Rw-Vh3Nz7uKg4|okik1lU$ux(yMzk zZK;`=@7mnF&4}iVd|&~$?nhh5PS)*OTJINQW#ygD*`B+&;o0YVtXWc!+IDU9;?TAS zgqh`tZW->(X;rnXRBXQJ5U3dfV%sUX@54HcZKto`rl$ckSrCTT>^;05Wc0Nry`l}3 zD2xAlugpi8hFp{J&Y_*weIs5!B_gBXoS&Zo$ARX8&$Q8Icog6>%_r>nb5kr-zv4u} z(Rh7AyxKY)DYG<|>z=$gT`2Cp(8Z2TJOFt!1bT~?TbG#x#m$I3p0QBcNqe{_oSZ$= zGL4Z!vpntoR{Jl4y*M}7j>?ud#ygjWrE9xQktpAwKLr0ZzM=uFVUJy>$a_<=JB~~@?TY$0(BR%Lx_u+I}irgUFtedm#V&tb6_XAYR#l7Fs_W`QBg`$c{2(r?cUH9wyyrra!aqv1m z$(hB^7nP>HBeg{5u?Rd^T)2?MgEA3DuM=SS4}eOY7~8HY^f)=5a{LaEUCaOY#WExQ zAmEi;yx*a3S;2b3M+^*|^y?hsk;N`h3OU!0Zg%Q4k{?U{Kn>v*9)rT=?1h3 z%s+6%{zh(JJ{x%_cIXl0U!m)>*NpmW?jf zO~Td4ycPnmKvSS!di4AjRR5MSuGNvWO!0)bzWBU{ z;puiY?=LUlz>#GuKL-Na&!kAIuw@ZzeyAB@1G? zq07{!U%V7)=oB{W!dK(aAb!)xE-?f5qlAFSqR&76+;xuz2G}`^Bk+?05{7Qy_&I=_ z1zJ6E`(%4h!JbFKBMGM@+4mPI07Ot&z4009WZq}tF-oTX!wtz$#pnK;q zsAavm4-W#EgwP8|INV4i@ImwPO;J$R!nGd~e)mB<>x~IoNg*?u*dzUR^T~y?IM^a1 zle;$4+k^A<&CTdQcaZ6GlEwWPyw4n0sjH8gppG>c)9Zj?`_`PO!5cp?Mo`y-;C*<@ zcdGW|RO0{PC74=DJ2VJ0E4x|0n-$;d5S9yJXo{$wX#DHqN_;=B&KxIE#V&~~tc^p@+7j5)kWqKFpOXN3a zD#Ls4;B9en8l!(kVxhjYx_Byr{U}u0@()2$aFJ#=Xa$s;-P^qd^V4nA=CwJh^`ePVlEw z5JYK9@DjR~k-nC6S2kyN=?Oy?H z>pna5>0R7w>=qA)z`WxEC<5%a<~RaB{_U9O}t#Z*(1++6gf%7S#o#Twl_`;#BEu_`wiG@4?dPpc-M6rF0+hbO}p52KS`Ap~| z%cJKBy>Fy6x-cfEU7XjY3`qf9GP>U)*5)GKgWY_9q|H7Cth_lk!n_ z$@KWA0=3{&`oH_{c?Z4?@*I2r;5==5qnw|@3q8pK4~0JY>0jiYBbk2;vVH?HD!(i8 zori1g3A8mCYp@hDj5lP?Ztq`Sx&~(2gnto0@1d(th>eTLL`;0gl8DA5sz=&!5AS)g z_PX!(HQOd-j{?e$426{*^nU#s*V} zh)rX#@@`{WScXZ@a$7pOWOb#*_>Z$BV{?nmeNjt0EH{UcWd!%dTiIDEJe0l}zpx5q zOOhwc*!Tw7H3vi?Bn2Y3mN==P4T|Mf5o@4qc1Xm%vvv?%j5Q0g!~@S(WCTiQW=f9S z9wui8GGbGH+6z#=W;^L?s&E$_6Z59$c&s?OkUd)rayAl%;peh@@~(q}yyf}gZ$Q(C zV$~HO> zUc-(m^im3ysK34OyRC-7pUv~zg$+fa?vlI4=+g0pW}Oga$Z_&sJtK4lyB>TF+!e8( z4~hCkVT?Pyux{OBd0;D(9JvoXNX!3ezNYbmZM+2>>5lIOeG!^KjAfeZs0p(2O!O?y zo4%JwlASbnGi@Z~;-KuL7P$g6A=wgInL{F%rLU5{LJjIIJKVN(7B?z zDqyZz!-twuztoV6fR<2YAv>N&Bz8H^5LjU!h+yvObj3M<)2^ya7apaNLDQ78VS?-h z2(H`zzFBqXT___6V+!&7D470Y2I*cieNh%o>|3tQeAj}Rt$ySA*?RDE8O3{OvkHPp z{37+T-9jsA1flCAW`)HXd4!uKw-t;V@X6snUBW|11ORAYnuY?ilD-{@G7|JU`|_U} zwz-}Ycn_t;PF?h+zWygLoKVB4!f~h{lxakD^S!B)V-$ic)U$kNjIfe?;{P;yqi0KI zF7$)}wiPAOt|2VS@Ivd5=nOfdaD!UjiSVcZK|Lt{-3zYXTgt~vHRz$)Lcaj!E^GRM z+?-_NKj+t+{d}SeQ=i@%roD$SMDP#)tTR1~z;nWC(#ORnIRrxHKZ))*e5pc0d!fQE zK_BR@TPUD%)$;EP;v}@iX5MuISJ%>mv3*UgJ%`>*4FftSmABaHDaIWI5VdR$C-u%*rEr0)Rr+)0yjys3S4>^piGoAGq6f zG4;adJ~kASg7p!`5?|T@J=jZu@Zh0ZtY_?RgdQ%s;o!h1#QCz)L+gXHhH%OLU)MiO z7;DQX8w4iu%xPI|E^yShBDZVCYtF>C#u1{5no6Bz}R#pUGbRyoRr$TBjX2WP&K0jKs= z2g@AkGE2k@r9!)I33JHvA^r@cAN_c_!iM~D*`By%qUjGF_RDg&!@2fVa*xd79GCx9 zd#@T##sO@g7Wjy1vVZ@vwfkc8@11%*Vci_b+x;_esy?Y#Z+ZkFztfI(5aG zZQK4935ZnD0W?3(^rucjmA$E{E8iaKFOC1C8M+YHZ$F>Qv(OJwCm^r8*y>VruLZ!U z*L9XCIeU2&e!m;47)>c+qi8$0lV|8RlQjjZJ-A+u4z3D|PtyH)xqMT&nt>RHKOa+zV=H}26 zBrxqP)#ocbBbskf(lR_`lIeVPZj*NBS}#=;(6}E`{PMpF8&fEtZMxKb!rKN%Ma!i8 zTtQ5Uzt%<$K-(@~wkIh;2F{Ugx+(fDX`8K>8tqH{()#cM*#1^__u5fZ-m4R zd<#2Tom1N~RcfK~mDr4Mld4g*a~a9VV-4-)R$SAH1a#GcT0MAa0PD{UhT?Fnxuu@I zi%$FB#EYsg)6+F~ZWhzB3;JTl!OZp2{+!{^GTW*}8L&||IFEM-l2c%7^IsoAG>P*1 z^5=w~yusvwcQ)as#h8b&N*7XR|J!wVA>m+hspyQzk(A!`^XMGPPxe0O(iR~w?EV~! zRq{RRc=wx~83`!H%%-4qj$0B9RQpUe6psSf<)d!)$S45WeA^wCf%jbZ#|J4nE@WZ9 z&ID#*HnGeVe*s;}P0%nU-duf^ya1h80Ji+%gp-}e5*vHn2Liv;%p{@|4m3p-6~=R{ z8{t0T{J(tAJWto0|N7{?>vVO?jT=ejkR9V6dRL})>3o0YPM1!N@$@UJsxZ2-->pD> zfv(!VteY0YQw|eJ$Dozah8^6@Db((#YlQ$U-NuC0|G_4}(O{oOy z=xIDQ-&0dzAElI+>5$?XMpkR=GF7r+bw}k1p36U)8h!{rOYa zyO`>4L!p6>mGS&(=Dtx4Vd_1wFl!JxQKk*V}Dr zOXXpt803QQMavSSIz7+{2zGtioX4_^hnyStF^Y>vwwx|UxHEbT0tJNosR71i&DbED zB=7~!PK1^_mzl#dv?0kN(rut+Zv3qb5D7zrFBf8hFZljA^C$(+SK_p!5aTh{Moh+t zGvckC4*%8Ow$`7mco{VsJLuf4G8;$_o-pOO%sg9{{1YenevY)G68B2g@)u7m`hA^x zEb9k)i3d7VI7gGA#-^mS=!N$M`_qMps58@EK^z84$$h=?f9J>plUVtNjx9%IoX`#v zR9b`K1I~*I{rVx^y;w3+0)1r`aYR zID%W2=};TJTTK2Hne#q~4P*S+h=39qnx+!Nf260TzlD<1N&(e(U?gm?{e_Dd%S1ev zvm6Jz|Fx7BvZ6>CTr`n(?3T6vm0?VwgeNi-Ywlv=F7zTeGw;58t(_FOXRXN5c`qX; zQ*74bvmcBsr;q*A`xwPscngaPg{-)1d3NItA)5<5^<^0d|ZP(a& z_cKOO2y_F+UGXX(&e!i40)>%0Z$H4$L!!Jfq;sE!kI%6v5$pmqw-M2Jg*GX4iwmkT z;#Mg;;#C;Xnq(;kVl!yHb72;L@t0E3RjWQXvn1$S2D+hmPv}0f{G3>i?iA+3Ag4z= zWN)7{+Ulr|5hG zk9Xg-cEUFNzJm-EazmR>$wf>diiEG<|xRcYbbj7T7gu+2>y@ltQxg)W_B4%SoA;(PMBI&CKvQ z3-frT3;XGnuC-Fo{$qt(^S=GdUOy4YA>}A6b zu*DK4Nrysw^n6ExGI;SfyUTMer36lTtu|)jpMKnV+o;q?_+A&_E4JCDEk3S)xm7fM zs%_LnJ?W11Q|GU!kg%-j(R0hpHdek}J)3tdL-pf*f(yPtk4W0|^)@FKHCP>B(G(n- z(`KUi;QX1`Dpfdgpx>?|7jdFv_CdpiOS3o@qXJ1gh(uKSNC#`oV!SbGuOc5 z7dbi{#$qwRLh$Sf1R$KiaSZ5; zDX($$yh}CKsb8-#o{DIkyCnH?6anQKH;~&#_eY30i~8xHU|R+o=Wzt>-jA~2%HYBn zif#al+7-b&6Q|6EW4Rnv&_t;)w;tXkSL*rkHR;$pwf!_eP*jV&RLbk&$!FK^1Yg5& z&g4}f=Ct$+Jq&mG``fTwRMH#UTb{uz3{iXD-KO$rr)ujW@SrhQ8I)cPb<4!g;`s0I zH@Pupi2qkYJIkC7<>I^Ypn>GxLrkAYw>TDV!eit-C9cE{sk^g*>>hl9T=o2de}4p; z91;tGtQJsX-f8$hj;wOG-HbKTLGfzX!v#$BWX z7llqWg4wqt_V)pQmaoEADe5PEnTUN;(ZYXNH;imgXaOmU7(Wei8lH&^C zSnm;v_8yfLe3QD!rWo1YucLt2(@@SDzxF&05m=cNtPa0J%u7uly(*3)d4s36%3f*F z(cH8epo>88`}O06v0DdR<$U#fJ)ir`rRmTJK z4<Nuqvz}ha$_T>yfa5phn3;>JjnV(PvkdjGYDW%{TCSuA_3WD}RYI^eWuT6}5 zlbAW)%fH*&{RWDM~SS(HR&Tgd=Z%B{T zQ3|Q`C%;{saaHbOaxJfr=$nTWX(DkgaNv!6^)GX%g5SgCfw^BV__h_Gu%;Skpz}ym z{97h^dj$<-dqF-<3VN%~J616R-VNA}Gdt5@PmkvjHW@^uy>L7EajoQhBvn@KZtho9j(F3ftZ@Q)I3GJy(5g#z{-BSaA-Lov_a^vxLxa7+C1~ffZh=8K zs3~+H^}Bb$krbC+P3FG@<}h3A3k6l`@liw&t-?w1)_X1Hvv0Ds-Fl}a5MGVqFYA~E zSHO#C=Omme!OSIRaBI}H;MbhvtOOb;ss`=@U%K}#I5fdVSUV}>pMhAgmU**W${0Pk z1|nPlE2Jy{Tj4V;jGHj(rMdUG{^Bd@UraU6-aF)|^N)~?t25kHK<2}S%P|VaU5n#P zB~njPU8k`LW`2+QMb&I`O?xTO$m&aJW7ej zu`4)NyQ@u&*9!?!-U|aIGZ&D&q;^CUg8uxCR+M}82HGd%#vabeLfMCdO?J6Z{*Sta zLVq$ap>0Z6>1&>JwTRr%=A?;@+O8J;(2^CeIt9`bmb@=AukJc<$!S_%5HPQzXMbRW zj%7mMa_G4(&jrZ-oI&C?8eR>&)p$Oq5wvF2r#IgPlOh#h51y!b*!ThF-nB48ZOJ4n*m!>^gZI{oxMaWRf9wN$U*c;qldR3A=3C{cQ+{Xcu5R~;Ol6&A-QrJC z+NCY$iyC{hk(-8HC(ZCQ6`=xEp=*E=SsJyyUI+r+!}}AyGb-n3!M+q*`v=(f6WyEL zOP(inyX4N#ea>P9nrjcq+%7J(H*&?=A-zsnl|CKWhAloPy3f$rz{XQ-algROe#x)H z!^*HArf0v0i+Y~ipN+o&@10n3akR(+6FngyURC5_zpnO1ERGSuf0_h^XZObM>+q#f zSpZ0030iK({rU_xIwE7B8?V8%i|z}pv_cs_gDGR5@RC+{Q2?rDLipO`GtF!?{@EJU z$}hz7jTSsMR)RNfw_ly#Bi<$8lNf`|QDR<(nFu)v_uG?jfmgNRgr8K_s&TmCDyjuME zY*&}eU(6bcrzP0YM9$&j6>i`(K>n)MHGzN?LvRLr-tqigQjwgre?Je1lot+v+?LX>HzJ%*H8%WIu~|?h$Mb@I)&gvkHcv=yi6a$-`FK7-{3g8RaDqD^+~E67cjy>^R7 z=CM0^PpXC#3a@OFvv8PRZ3aAGO*id+j9O^+X8jOuhaGXzRmjdgdm)IuW-E$Em53tY_**9;SV_oV!;|`U$&j02o{ee(h&jF(!ErRh6 z#&1h`UIL>tc&tURy@KJvf8udl;1puTdV72mT97Z1V6ttWmk8v!Vtn6dei?S#xa9Jon%bCk8`H~f;~x^}GgJiJy;z^T*vSJam@R<@ z*#tHWZ(27L!iE!RsOgm@hQCfYRp?-yvQDqvKjiLm8E^!lngeO!-*%FHALhk;gg9Tf z+}izVWs4Hd^>UY%$$7HEf$6KwhaI{Pt2Cb1P3+6z!A>-)GY{pLizHgXL(B*>gTjaZ zcvXttcvtzHd}0BxuY_oWoJE8_j6Sk+S>d z7wCo!ofB>+ILcjMDUj2lyjM5U5&jvWLIL^~z;C=ly9e2x_ z2OP1Ii2{mJ%;*ArSKry6F2Xgif~aRspO`jPYGklLUF5+EnDwL5kdv#ADYMP#o4~&p zt0SGm3oohlFyjyDD->DWQ}i$%Z{e*6_IRlk{WI<7lq`3`x_^c)^=^+-U*@sx9=i6p z>Q#_^UVYXs6YqgtC5U1-XOUyyj7OzQt6Xnju)ILN0unSkj#aBW;0P=$#*Sriy%n3( zbuLlfU;_XHy&l1T9BZX^3Nv%rwX%9LRUB6|8E;cnaO}|2i^vtVcFiOPdB-s0G2=sG z$cO2$jLo)qMXJ0nuu;v}S~kxzPeiuGP&M_xgxm(`obdpGuw{1HBPBKEN-+a~C zaLXd;_vc^si+Q<_4%($uv`sG*E z+7=jo-jiZ)Ybs*fe*bL5^3EqSEhg5XF5JWYG+@4T9F_}NoKHepYa|8`=t z&bLz7u0gPDwG1L(310T?j3SEd zNxXC1rPTbw#j209SD5zGQ?4syzK*Beu}Gc@;aMOKABfLu_b zcE`Hf1mTO0kKr9+`o0VjCz(6L|6J$Kjq{P^GI!jRG0(2O)k@#WqR~K!Ff0(%$Ls`g z&a4c(o03^=*IS;(zB2JDQmllkRT^QYaD2~(|phc zN95uJbBd(#)PE0pZZ`)Jcg{={!X?3FqqY7^#Wc}PWm1T(*FaVC=io*!@Ew-wMzhv! zk6#SwVZ`br4$e*Wubq4^H?Z(4c`H-I!wHPHIfoX>pJA|T7LO@e@#}Z{He1nk6c1z? zM_#=sD(7(KgGJ7{wHV~4wfbDiSEazOz2G2+-9W|JHKb@Ktq&Zkwq?{gM8ISn!jIOT zyfI4K^oeW}-){J)k*7{(Zxa3mhbQ#1aUlMeZUDdUW9Hhu0h@yn8|@?Y^TFE{;}AP; zp;cj()fzFS*LQ@cb~hMxUUh*z%{@Prk>wf?hVNtVTinEEahu7sWxIckv&lmYylP1F zt#X-xC=3o)J*ccBh6gg-xP7!uqN5|?U-HXslH&k0&8vQY>( zb*H{*LxE_`#SR=Ls2-09xLZ+>H=!#w}w7^M%BgZbnTC^To3B~w~F0I+C!A2 zs=<&~jE@3^$?xTW7>yV^yptfw$!%yvag;qJCv}gO*Me0|vBvM|s7+_uVCape zPO2CYC&p_`L2-^#2pX$b)=1W&#Q1|#1YGc@H)P+oBFA{S>hCrZ21C%( zcrModdm@uEy|Fx!G-CNlP2MM~XNGaO###BS+UrjyI+fMr;bop=O5T-27arAPzgSh| zXP85H1>Yr*{V`BeV{}{U6T*bvR(PL13=h`sC?g}FxeA+*bY=&=lpaAH8WS~nW*Cpa z=X$i@^PG2fEpBA>D;I)xRLx{=*sFnn^@(_SPo;{FkJrB0l|_xt-kdTF>jKno$=j3I zycEkUs<^J2y!n~>`v%-<*d4hu&tF#-h|$=f+A3>*Iyd80`as99U@F&fL0mlFQ@(R` ze5de>=O%IM0@guKpbJZB>eESt&_&<;TfWf6-vxY}obu6P!&wbSFI|FLMnnwO@|s#_ z81w5EMXBpXEne8EHEDccSB?^E$@|VW?7x)T3vwLtmeORqN*v4e5bc;#LC(DSDY_jY z^<)pR^9h6mOZj3xzYCJhTRAIfN0EB{;GxsQTXTuQ0TyYqfbE9ex{v(SY10Rw(Q~bY zFROM7YLMc{Jz!(OvtD?ENP3~((tiGy)T3ah;l8C+MfNQCsi(6S$+_$OO$G{RIM@;_ z>rTJ+&`}#UD&P*8F8TnqQx^%j+M?jL4d54^i1=1619GdFAqaRCHPOoK^YpMpL(UTL zLk85kiLv+OU{mpW?6oNSN5ZPt+_yk$U?7Ji;Lh=t5Y=Y#WW4t2su%6 zO!C8hnUl0rMbCV+Jchl>pmUtX|3LPW16^zC_B)AU_9b|@LO(0g!zr`?5^GIJT*B0; z*DyZpDBr*SD5>vdk`k3?nnI4k(c!kaV`4+(#&&Tf;@K}4`nZAW^&BH!__e`H+1>D? zkloGfVbSN1*Bx)|hsQ)iZ1g7z`R6LD?6V#-NOmUd*!PC#b*ae)Svcd+GMAafGxg6r z&Ib%p$lq51QKPZOB#E>JFg`*n3-m7q-)nxG~i+w6m;`OnI0oy*M4e^O<66UFlDw?>g5d#*8 zotIx$F?jvBKuibZgV3?cLB1W0vE!5Jb@p;hgP{}2t?yZjBcaD6gKkYyC)NA z^aQK~GTe@Tec&L>hl^K<@f5X?V*|67I&chyQP8UWf zzq=s&S1E;`n+4Rocsx*QJaW2{MXHk`(NXl^CW)*d^!}=WySsz_P;JPU+M{$dEV*o@=3fyXEvHnY*Q!{RWogJ$0zMc zv4Mv%c*Fq{xXJQ3vEQoFvlZ@Rzj%YZRa*RS6hIB+WzlmJFl!)D72F+AY;?usAOY$ z-j|dbRsLrYeCN3Cz^{qLHe$fHv2nqO^~XnhC;|*$IIZaXMcDF(9=eDK0mPfD&1#ME zzk4^t4Qs12)XfBFx0g>>ZRFRL>H362p~_oBSm;w6tu_S*p>V$iQT?H+}XHs1s%M*yHtx8He?O z0zt=P8*lu+G86ljdDYOss*JW$YpXn)hv#2bj95l^&6&E-zKXsn$ZeEUDW-%HOnRfR zTYzIR@aws(feqeb8}I3RJ8Jg*R!na+f^tX{T^0iLana?L4z_W9#pk-BvEz!>g`uaQ z4hC$wz7GxMu6JW=8_onL!T$nZJ&j%@HRR)IF1x%P`7_>bk+ry)JnrK^Cf)Tb<4`aT zz_{#J4x;=Sxgs=C(V%Z6fn_I1GVsOaQYFMXoA{{1o#Kh4xjMHgdH()Q=PV|~?=E=H zz|Y?Z%z7*43L~EKmwyt((b_}#BMg?vr@;Q*a7CB! z_NHxp)U#N1p*<;K? z`X^*#;Ad=B`7mNIdNUYiuV|naj|^+DM8YdM%L(hNBZYX4-9uua?{eSofhyV9^Iuka zR%+iXBV|AE|1mS=IjK|_^6+=6HtX+}Yb-3lN2beHUSKtA>2n%gKT8|r%r&bK@{{~2re<3;#c?5=hg@A(70n;ePIuGzl8 z6ZTfd=X>}zez|J4Vo4jBq#Rokc#sDo2c=+ra*COs2Oe4C5W)M6tXEtGob!#4?7GX1_+ z*_-~L@c1?3;evtM?ink=v6%$QJcqEB!_Tb~Gi*?Mtd+KDA2{@Iu52IpuN|qPZZm0_e|sJgikCS@^*^!aSjTjZ^KSBS)-!iW zG#Xt0@%#mTpc*WJhUgF;rJgkD!eHYtbX6m}E5XiQr5>$?parUWV!Rhhv(44ges$eK*W`Pj>++x3P@<&9y#3bbC|upktPkzWVBbQ0ww#F=^z ztmr=ubQzPPNFu!?>#A@vFdPB0%~q9{(wCvYTHY=(d;XG`vp!;jF(|jlve3Z0Y?d=yIWeirMoBH-I60lNsaD!zQ5<3|GVw%1%r+IzOGMN z2skv;^rlSy1qzhjD1@~QI?OkA#0%>dY{t`GbSS}I44ms=gP1NZW#@F|k#9l<{vm$l zeT8bWDHE2W?4e3>MHvkn6((O86J- z_j4A(#?GY-J&TgLqMnzzfme%NYb-t%hug6NLn)1^F@+nt&MMi@JBPTUq2p$Iwbd3m zNfgwWPoYy%mAusSo|x95Sp8PA$k6ZHd;908Y7;Yy?+B_#7(w2=WwOxPwl6t!nT#N0 zCZczV$5-jbk{6=YKLb9bD_qP@GSgV23s3NlX|9z2s~6iWelsv~8F9)1!+3Y|n+Q%+ z#6#%N>16U7zH+j~1KDBOa_F6EDS4g84CQ&9yINBms(ncClARzC*Ng=xY9s>jfYm;! zZEh;k417lZI)MMk_Es0zkHDW6)qQ{l3*fGSJtt6?z0)Jvc>t_-290jw=Mel+diuvf z$$RA0P8SRSa?@F@B^#YEA_1QqR=RzzwT3g{s4fH$c+7nzhlcK<)K7me)9-J$^k&-{ z2Ni-ZMD?2fn6nRx7Rk;IJKz1g@gbP6`Zgynlw0rzS<-K_A3TdnYHo6cAR;1SzBiaq zkS>B4w+%Y#g6S!-Xn)AD^p{m^*P2_*)qst69*91A!G_qfSG5MWpJ}%oK&@zv2j$$p zw@#RD%5Q0y@_Tc3W5+g!#eYDMBxBr-J;X^G8enXat(X7P0u1+}6G4+8b`F$?EOggd z0ct0uu)v(VcaYtgrE6p^WgfIlxIR)zx8to1D}4A zqsJ!uy`6djDf+i8tu8~9*j;BEg??uXoc7$KcYG5?_l4PWoy+Zo1m1on3!i1J6&c^Z zXXJ9SLGs8p|8}7J@sng!X*%;qU^WIeo`=q6$)lKm)z&2eydu92_lCEyPd`$Ba$i1% zN&YuJ+y4i3>`PODx43~7ICt6b^?|f$y(b-LEWo<-uBe8CB-t_`F_vBx$Nx3s^she% zh(EZbQLs7CFQcV`1QA*YVQU>V$fVhS<3^!%B*rg;z(O>QZXB;X#;=8VjPQeJQ}j&8 z5^wl#P*odQmZO#OU#%?e&!ozA4g7;EMid~H4Z{j~#=PKNZcj8)k#n~^V58p4eA1i0 zqd0iI{xsbCM(;1VdY9yD>U`?stbKiG98eB;^a4c$a8v$>X$GhN_OHfrQeYinJn|zy zcoh?#)q@xg{$j7AfXnzj00FXlWVtBe?T$&n{5P8t+SFSu3|+-9!JV1{)m_CjrC$;< zk`@pGtfUXm`QR}=L+kw zUFw&Av0|IXGMNPF4L0aRD2YGUgWua>xvmT)rK5T7OsJ@)wRE7q=An=Ok`)g0{I;igg>S;vMv<7Vkj*gZV&A@}iUp@a!V^gGvmwQhdWrM} z1r2dJ?Hg(KJ~7CWfQS-1c_7SBs>^8H)|Eth2M$V_+l<7>?`IGL*9euHH?_}9HEA^DLjdBhB!JQX*6PlJ3^0ZFA4`=PSb;P0iVPa{0Q&VgGu zD#Gw|wVf?ul`Rpu{Wqc6#_TE9(V+E1bF9$dw5@^S_7-;V} zbrzRHi&RqHD54UE-H@K{ZoGA9PWl(`_ks?dSF0W%KJ?>FxfvUaK7(cWMINVZPG z-?qeZh!M3{e6`vl{-FuWa`SewyK^;lu;#>6kT#WZ)I^Gn6A?lmbs|CBLgv6TDeVb4j6&gEi3@w8`1*C+?U#NJDd8&uVMkunIx zW(12O{D(b&^nP%Qtjtx2eMkPcJ?AHJ+J{&{+rqLnUP2Xg<1p3|B(JCVYdSJLN>oJS z@T9*g%#E3kZJm!c5}?O6$0v*6h0FHI&v(Pan)-u$6SM^?KI&@Xw8BeW2Z)bLCEo{& z%GV>?JB5P%eC=rw=yFD<=iN{S^_OdND~s^zvy@fc>^UyHwrbU|RXdjae=NyEI8hK^ zlOk1`2K2vt7fz1}%hr#ku?)4(G9#3CG@2MLoJRxoB6k>VWJXKoAi%YTheuAEa@4Tl zR$2L&spHWSNK-?YgR$1D2n-T(QeG=tvnPFa!j;W@-QM4Fbw<-%7ffl_S;Z0)jIU3Xu=krt*)NbnDX|kny1-+)sL!M%k zCP0dlasuINHdsJh-v{b{$^9Kr_7*x!&rXy>L`afk{rB?8 z>$_p&3juk;t*(OpLhrXxPTFo%ueHyf^0H*fAkGDFuHe*o=*c&4x~*}{$e)TVwxOW< z9?|TUQLkL}+z*V%X2^IdYHK0{V#3lRgpeWwavyg*aU=?-)_}+2GgSX?Ux@`F*1UB! zwXfkWd)Chg9zlUC=R0nkrJHM=b%=i6d!(k3uOv!gJ@ zaK!}*Pu)f)m6d5y$<%R#dd6_$wt#rD$A_Hyoj=bd>*0gGr=5J#0c@bji$&ikLBA;1 z#HL9uu}H{QBZg)BJ^FDRBI|DYjU@Cn|BIigjltw;h@f;TIVW1d-%B6d9XbgGM4S?! zNF=pS3)zQFj*v}DW}n$)Zw?Xb<3q@#%z68w4uTES6WZm>o0w*fto+Go?bCkV=!RrQ zXR@3M82SrWaP@Pqdc$iRDbQEq#uFCLlsWaovb^S!(4zUGec^KS`6@kcu2CMLS6=wP zx;IT^S)@D717pZ$<*!h^t<||ul9lC`^Q^w}KsQ6k)D}EFYyV{h>F8AgOu0iZ*hhxB zInXS-!f0Eo{}Uoyo8*%ChnUO+yhvj8Ubre57QLF#r{688LeCD)SkCCPHz-lcJB6aI zo0i6tC1-*rZ}UV>R(%=-2rJ57447p`>UU6|m8h+lrma)0C5?#1n^gtm`z zMFcua6!?jB>xj>h?=e=~r|S0w>|$7W8hg3XpOZM>_O0b~RU(@9SJxtRT1M5ax0n*h z%VkH%^>uvpN5$BV%XjNT4`qr(lrCGJ%jmFr%P(8c=<=WM8bWEyAbYHgqkb~Nx&h4G zkG9!~Mci#FkwQQfQi?9k;4hVxPX#`h(2Yk0`-#V-j?Ba&Fmg#kBJ+~+nJdIVG0&dJyKg}?}u}~O<__o+o2(`#O8s<)J zXcMq2{LFM!+KCtMdE5x8aGx;KVJ=I1AEtK}2}GuI;(B2uA@*~JkhpRyCFPT-q_hd` zUs~!i4ye`ESxEnW$U8GLSX=#SF5uBQU-fc@b zEGC#L^!lYEzc)fA1@i+H>EUBb-(I0;ti#MM%^-e^WZMG?P)Qv}X% zH&vbm>mL(c^jQvQ;OtSKW|sH;dGG3&{029RBmh350ZG=e*i$L*&5zDrVg zBMWc%v%A|fzjZ)aZ~bqmDW5P7Sx6xno5@_W7v8EAr9{GEA)n0s!O$(bXf%#WErp1e zQDybZ1_E0_v5>x@#N)K`#>_-PN13tM_yefc=p`od%2cJuD1%XzA zz}g6}dk3fSM6Ym~l;ro;lkTOn9&wuJ{C8Hcbj~>1=C5y*ngx3Z) zIWFUe;cKho|oH_P8i?Vy8yY=-s^A z9?7ti9kmUL5EyZ=NFqj{aKUd||6?j}JIBEIwx)5~@$kXm98%^1^G zgRLE4L;wu=lu5bvUtI)$6@c zg8EOt2>N=qj|V;kMYc?XZ|STZP5bz8LVMDsx&rby&fZO?U`WV?%MPEB8(N|L<6RKH zz%I#@a{gzs>KIl)WC>;mZF_Lybv&Yp&TTNB%itN?6KXFJh|rwR7ObOwMJylMfyF4?UbCOscj7J z;{KBK5&FpQDQXeBzgW31s}i@m1YN7ERI!s2TM2_S-A%_U>XKry*;Pi~x6P8#C-1}^ zC-RCy9AAdwPZGi0U+l}nT7mk~OZOta8jh~I7H@zYOMJ#C=Cl7>2(!~gBR{*js1hGRtmx(d& z1wOmcp4w#IY*1HS*0pX!6!P*r@(URPGW?y6sH(dwi1Mr>K#+&?qVT@u#T z(=$W2dzVR*v4@lH0=Asgv~O`{Sg0cJ8h2lf7GEb1A=;HLrOoa#T!HtE{9U7{-Fo-! z-oi8x{yPdLlyx6MozOs zZLSBRco^Hy{$xlKa~=*_$H7Qno;bHUs{M#F6S0kcV6*6kqV4#*fcsfVHvW~ov`#gt z`CQH}Ui>LJ`|8);h*w}I{HZ`LD^b`-PJ}8~l^b2@$7)eD`(4FRaP14{eJ0lLzAAJ7 zC%~T85a(68XECkz=eG;bjkD@NkphWu(vAf)lw;qac5-L#Yyn~|Iiz7OCKsckuKe-* z+}3c?NtnGMM1g88T+%b&;~SVo&pTg_q$K1Oa^`p9H<K$zBL1B#3U2a16b*N=}YY#qUuE6mSUCj+)yIB+EC*X7v;^@ z;Ha}%hZ~&!IFVXJ#?+)_gVNe-`!5CPs1Tr+$>WFWQW|T?gOhM)yBMOfH z_NFCRv|Im-iL+E2-OJJ{Q4!tJBW4H}-CXQ^Cli-SM`5F(S2OEi3W$$Df*J_?{oE%y zB)XG3Wascf(`BtG*V@~cceWV#yAusQr|g1|6Bc7{*rl&pHy@C2?5|NZjtRBo4N3z_3B)$2 z5rR>`KQFy#x2oBAB-LRi;KPm6yiXYk8lfo*B-(XYgR#`TKDge*f_|nd4brf%1Mqsu z3nx%dqxj$^F^L;T|7Tr zjYyJTcGZ8M{k?zYqHXH_^6m5KY-f=BpTnE8h6lusizg7b96c!x8mLLS!h9TPVAdAl z@Mce!N1^4z^G~jZ_i`GF`70FQU+c3X$;&x<#F`Jr<;~0lF6fe8FVpw+vLOvMKi-k_ z=a>m9-LKt)9D<_2b~H-uX=-M(Gf7&13vx9knK)&>#cPiLbUDy^hiUf1JbbRMB3yp@ zo={kKSnBBOu$Wd=*QXif2uO zH8VhpG+0EVbiV^sFFliEV?6%O=zCOU*6iKI?hwjU<2sVgC-lGOfJ!6aYXH6mt}7O^ zn9`2UpqV9@mG-+MYvjxVfT4DK}?U!4Kk_;~0fs0+%abh9$lko1q1bLz7F^vX}Z{5bACvgMU zX<{AE>%`mgm)cK48sSU3lf%)PXFlksY)?HS_ZY4bG218w+7d>$nb9k!-rE+fEMI?v zLIwX?u1qv-?PPxZJHy7vIt}X^d^-oJ5!MCo^(Q4F%6o8oE5EnT`WRi+NcHam$0?Wj z&j>vGasJ#OlB?EH$GE$oyRA3Wf8U$FVC#3HH4*yty)bUzCC^|2Q#{Hm5v_n49bQuD z58BP{x1UTJ{FVJjVuvV|X+l_7K5c(sQCB|J#m9gIvqW9-5NC1~{aD;EdR;4)clftQ zn6}4kqfeu?r&{^3pgz6`oCMKG8B>n?L*`d`D-$$EP$mcIeHhd3MYe5U#dUuTE_rnX zuj3_xJbmr8?M`>Pbs2WIMb#D(dBd=$iVjN(ucR{TO2e>50vd zl$LyFU01I+B?B3Hj#k-Xse}VjUz2W3X`$EdyzWtS?x>PYgaO2-2G`F&;-4S8;p_fI z;{0>C)P0HQgJ;{_BEY3AP&$se`>dKPP{VRi*~Sjx(j&3>h3pWCY&;f+>Oh=%^^3Q5 zo8NlNRxB1Iel7HNEFo73eN?K(R`okq%VDT2v4Y zJv(#)5qLeG^FZF6^VEI)33TQVBdC13ARuk^Qi^5++4PqaESS_z8BV|PU)PZ&gY#2( ztP0Ue?N?yxDdTwHm{bKUq>QbIV#;l7a9w9<94!fcV^h~XkH7D7hV%>ciPzAH4a0aX zpTo@ThHFN*40Aaoj`GE=C%ECQgr81HUdFxWvn&Hq&2r&Xhm3>BDDhW!A|ArzkRR=p zpMI`;*KoiIg@GHvr{q&hsDc{;&i=nL)8hj~1DAP)28(pb(iA-Fihj+4=q~r|;GLGS zcIXMq^O08b7OS6R@JeUHC!_f;Igj|XuY<4TA)_mmXR$MdkA@V)2drUz4e$SLkr^)G zhwb0PJ|>ZMip01xiS}KznJYdvEGowQDdv$F<%ONBp`pKe*!DPZPKDjp7Nn)859D79 zSR`Y`D4gJSV8BK2C|o@FVo)M`4#FL;h=a&0BwZS}|G7jK`N_02t~8=^#uE$@9wb##eoXWg=$3lICO5Re2|ZIZzhqY|vRyzr`^ zUrSK-nK3!>%>C2S@UDgJ*cYo#1W0D$yVU2abywBm6PJu1e<(o4--m{kPu={#tpmf( zGHnsK1X2rTOoU8{F|ziyFVS^6ER`BBMbHX&%XbH$Dre3*rSAQTmec21QXhyP3laa> zs$z;n5W`D5@~MjmU1mFht)KP{SMsE zj`ACK{-W4TH2f1k&)9QtNqsj`;;^uPI6RR=r2aR_y>hQ8V~VW!cfqG-Z>t@S^_IjK zQDxtHaD6o2oECO!cmKcoz~=SDHx5z9Q+!3`8q9KfBd0hWo&N4!A(|fSThyg*7_a)| z2L8eXohG%PQrsapIWcInC0Q8*8S8TerB<$58s3`Vg79XO4^|C|7Y4A(f5g2XBU!j7 z5>h9=`>tUSHe*ABm2|n;gmfYDk;1vA5d5>IhKqg&PS;#J54Qf57VBQoxMog4XfLV5hIWD64)$NxmSDTmq;#JE+~0EgTcS9b zJ^IEGR~v8TlE*u*D)$FN_Kd^X7%X&A*()pkk(*YZh0~|IAN*@uevf#z0f6RTw6kV+ zZy=rWZ?C*VQ%FxH{E&s z2&fm17<+sev$`4|uxBY_K3#r5k7<4z#?dc2A;8iZ2}ai^4sSh#R^G-O`ca2vi-arp zb0$`5>p?zEQtRpIO}CjKGK~iMCgzBbQdvEPUMz;Lml=NkZ#Vk>P_yob8xR^H`{Qxv z&k90VjWvCUmTicnZph>L#8^S1AmopuN18aT<9m86qt-XIpB7xcdHKpf?%p)cNXgG8 zjB{5Z@psS3i)uV#H_7z?LK6At#xRk_%!&m=*>`qlUQ4^edTu9|L;uqPu&@hV&Pm7d zdwi^~FgvBm$&|wB>9Y_Y_yqCJklNRuj+>nrZh1!~^mFQ%?k>nL7*$V~OINXq;67DP z^$YW^g`Rs6ant4A&&185ghYH*4#xWRUmG!mI~EuH`(40s*7afALON3mGCPygoLTYK z2wWvhu2tYc>cX>_IkttZ6n*lKb9DIfodEJD&h-fo8lmnV_gP?$te60szC|I7pizm^ zmw60-E*YY*^)mk;sd884YkvOe&;fj8ge`8Jvj*5Dfq7=GGgz!`KZv+oukbkz8wG)J zAQ?4>cFMJV+ub)Tq%cjY9b8THjK}qDC$9Ok;qqWO#&S9}QKpf0PvO_qba$XGUZ;}slVwMa)3=~ZA zkSTf2R@Q+0wgGGU=AnR|3%?KMiimU)K&~F-zh!y~xFU$`s1qvRslJPD+vk}#DZIb~o(G+qom<06cs-kkr6wYB!uzOQykmnug**1IYoT4oFO z2-OTa6x@jNyZj37J@*~uoEJ!$&woF{8!c#_^}d{7d%FxiDvB$?%)3B*sQyF5f!oBp zXZKUabXrF5YUcWCc6g1s1z9^Sbri5fiT8V5c!B!(zZzl(kDtX+i-uZf-z9KP3IiH0 z@T4d@aAZ&xevq+=;QdmEuh{Wn$rUHx6vO34L9ZoAV6Jv-^FSsc zWmI$VP-+r?x4sPtD# zR)QH8eqPzs?tBUZ4k!4_+{5Wp1l;`lM9xPUZD|JdSZc}Gr6t`Kv77txXW747-g|m9 zIGr|Q{9IQ@^+L|u7!!U^%B$xIlduC;0flF*7_0){me`Qj`c4Xp=z63L_Y<8``VxEe zyBOe{aLb~CMs%cXWHCc3V|D$esX&(PJqTTDER0^a z(;9yMN?)W;Fcjlx2k)wl$(PXqXDupRDtrCQTgNHk?HDRWt72|`{*YEk06;~SWF^W! zycK$w7`5^5E=kiTEpVVCebbhHuo5N8m?5d~SKtT;FeO^z+|8_Td;&r`_%1fz)&1g< zg=Otp{CZifLxNrc4MvZTQS^+m&ab{>LPf0VQSG26^K={C=zdp^ePX zx{RZ9Us31BMD*R098N|l>-%q*rytfhJl_9_v}$`))`z{k>yyS=5xF^M z$>-H6Ov^_4Yr1Ikc|PHd^zF`e3)7b#>CzGJ`G)v#ugAU!oWug~>V_FXc1`THs~3J1QQz(*IlDC?e7* z=MIin%J>>sL|yj9F29mpMKEo*91Fz!dA9#UOh2X4^&uAchSN9#D2DNMU4LUg^AAvD z+P9eD0a}&Xo!H6EjcpX3k%V?EaYKH4olbm?8}A7giD@#QZBs1xYThkMg#k1Ukb-@( zP{#?{`85+kHX_nfHMx}bd)I{`vCSAW14P~bcc4PO*h-!y_(PUX%j{F`xF-kNe= zpr3i|&pkYTYR+3&qa^e=pZz`O8z}!8-7E}VbGJ-6ebbgKIZ)dbcH$hnTS$6ULP8fr3!K` zglafKPxXPpPGfJ767$%fS0y2LxzshmI#hV4Qsq=(>0vrdpB%3P)13++*zLP0Q*O|8 z72{pbvs_v)9$@@|#i9suHV%gVsFm#8xo`I#74ERZGT@5@E8Pvn1VuhkMa6()0~Ggw zhL%w^I;Al&yfH2zhAIXA-yEiF7C)x z16GA@*k;0mMoy;x4I;)+O256CS|r%6H69T+s=2YzqUHM!2sxCqIMd#&j>e2W^8Ae$ z!yN8>?-;dTJf?GSdh&DGkH5edv7xas3ZkgHt@-#Vc6wGC=hb7}HUG6hy;ClDtHRzW z$Dq5p&=*m)p?WNK#Oi)o4dpRY1{%c1 z8k66~3C5lazhBG)?_EAC$p=*mrx;BO{NAhdnKC31S$+M2Ch|kcq_O9qWS$gVC2!8Q zGGf6yg}?Yyoa@RjEeLGitAUt6LP#r6eRt_N=YFG@644n@YvOrW$BU*ggaICrmaF2G z8o*3Ca2<1}>+%%`O@C8u!M=rx9KUI`WTnZ6bz+K^r5OCah6-o;kdGK>h`vGWALZtEMVZ+d^^{NeBr zU=|cx?*uy0?9eux!(N?X>f1X~>Gjl6pYT=s*EHz$`#o(}-X^d6Hq)t=_Su%_8@Ab0 z@OJ8nZcAZyK2cMqF{NwYDOZ*aH=1_!i-ir)FJ_6S4p0->h@TC?eV^WO~(6y;Ti8yP4j zwra!~&{o9G$0%elTv0Nd@sO}g;7eLlaZ^BC-I!j-Cf0sbykmhtL)Nw4ClF z@Q0pIeE;+B3osd&gZFTbJolw5L|$TS3~Rb(b20ec5(=U^+8ciDh*e!|4!#oBBJ*oQZKu7+@=#>0Oe??|)MwqdVXdtgKu?7|IjbrZ zieMv?|A-ALA(9s_{Ua^cpILvPcAlu7TY+J?!E{QCpq#rcK93* zJ{?7lW8BSqM1MQz8JocKK@#M(2GIG0dN(pH^&WZm+lTu6iqj8RVN-qQ=sK-`O)~`u zcz8MRkBJ^H$8mbDAd6TyXq;gy`4&@S%1lX(zh??t#(WpvCz-x zDyW9mZae;F3hqnbdZDV2oys`oaahRPzkjRL@PSj_qoxx7WW;BlqXe#bAG`(PMp$v| zN7GK7ix+g>=1{al^<~&)lg$om9or6?g`OBycmbq`Q70%4NPJOQb%P6h{1~g~D~#~< zWrS`*a!;(otSW*09};J8oMVHTX-RE`{jCyF#Z`_Sp|@FbR&T4Qp^w*uTt zqDWw&=>@$E9wHzK{DFNlc&LiWmLV0|0JLpNW^5jvN_|O31;l~|R-W?>GxFr; z4|~%M*wqs5(=Oy8pB)E84n}P1y<5(f$Ip)0#)jl#fk>@D2?W*SLs^st3v#QybH|^R zaVYO`V$E$VhUP5oR4OUvINN&X&)@H)_OGC&U02dW@pT#7Od+weODgg^=0xUcZv8;PUw!s9mIqFbgJPK68BGlGaKaO3Yo@#In97^){jpiSw_{h$ zxrbvkY7U-k(06S022G?*AhHVTl|+H{V^776kOm*#{7X|X))Ns{h-(lj-`wg|d#}*^>UjMwT-o<*5cAjvjuic*v zT9B_q)*B0lXHz_RQQS*aAV1?`(jA0ywp1)P}B>5soEdh zNDf+TdQzo*ZApNvu0R&`Ow)Z$xPcJ^33%vy{yc}P)+b<-VZTMzU(YuX!yOpZM`@6A z$0D4oy%Bgwyi$CXL4+otKpJJLL70=r&9Ur$S2eszCcaEZ&|xFIy$qg|LNXgG##1>Z zc~*|b1E+6)e!PxjXLK<`Q$+>wGT<^!3~#lo!dTj1QaYXsY`{4cxY?;6+**o8vgdL} zJ;|`K!RNS6=rCiQ`;Dz>DGwDJL5lG)1t*40c87ce`w80>Ko7RH*cW|r*91g}^3Fav z^h7Z*5{jB95T1~LWqT&)7IckL_AEXge%RZiE6w zl1V?N5|g(1-qiGc$xd(=mk?qY-g)0sXmqr)$3V8SxTa*kl={mAJhCpTcg~yE#{v=h z67jAZFN#OBB|iK7X{xxZihgkJ0dMe>ipzJ}m`(D%hPpu7ko(wKvSt2>WA_xQ(Jp4e z$zw)8LaxZUJ*Yz>nOWJ95K?K|L_BQu(DbPkt?-{Qkdw|W@zsS;SMGjST+vvIYlJ`*Y{gjC}V4Lqtc5+Vv zGF|iuW$%Jl)4)B3RAqT&`CnXm5FeMQU{s1SYbG)dO?LQkW?BWTZPFkN`w+c$GZI^x zhQ|U0(0&1Bcb$@rO~aNJ4Bab6UrwTcY+c>!9;9&4~2mu?OR5w^faS5A{(+{sdndHio6cCz*X zF2Yr<=YP*6X>WfGIp@=Vdy3v&BYoEJ6!uPaR)`j zVi&&CB$Z}`{L4&H>E4ioxRFBa|Dcz3~K#?wAZrPVG9KVz`-$l2IO7&I3v~9*8{U&--12FnZfts_-_~D^IJ2HZbWmh!7UeaFU9E< ztqX8F7GP^oPqPzYQ~WispCpeX#Nstl!(1zEz->|@>A?boaghNB-F==Du)u3XHXo&# z_<$)2>_DUIniqKb>3#M<*D>|m4t&U7+;jxLM1VMZs;v*yr7qlEuio}^f+UB0vx&v) z%7l5d?2SNGBEe+SLNAS<9Zic~g#;5Yp0xsJ{!WxzCMcuBcyuNvqy_=Md z<+>9OTW>qEz05iMS`Z^SPa$%-d>WGXjxnSePXiGCxJZ#H4_KyyZ;tLCIkp@)%=Vuf_52+YB;D+~(TkEq378DZn=K%C)-Kzp zm}50t%XE1Yfcze((d259rXM+uZQyp+AXS`NwRP0vj6^a1nSN3~J>)KBq;Ub4n{Ow; zNiU48kz)=uXlL5zMl}p= zLA~^-^CBJ@J%-t+Rx3FvGh;KlzPeC7kr0}fxvPxta)87{y&>+HvRCotwqWtDGV4EI z7;r@SgDuwk#~YqHj3hkBRzxFleXm7&4UtaIZhT&?seI%4MVe`5J!pniVH5@cKsu|_ z5dc2`L^hBfgfX5Lzy9LI5uEM?FdBJ;lOK4@g_u%=`rB>e%d;ny@`_J)pG4T8Gvpg; zl;-Vl$Nr*2@wiO*P&**90dCN^1eYo;&VVDpvPN0`N5krp;LRlF1R`nndRX)0QO4ZvDh`LE5(kKep$A2YJ&8eoZI8U>c zwDZ?F_M3xTd`FM5p z-h5|okkvm8sgP6EDyFS_0ni=Udz`zVP!e`$?)R7X=4UArEO~5h z)Ob>=52y6dlKk~LCV=S}8ZITePMAA3r73ym;&n-r^Jo`aN0vnoJ<@-)&#{sX(cGIG zn4D{`%s%u&T?VBS{u zdr`cD_`MjR(<7>ymJ4Dbunkh2(E;aom8Gz4wSfUScR<)B*$yr+vO+qWmEdvV@BgkLza} zvJABemcNh-4Hm9fH*eWYtGe9@p((X%qQV|hoTErJjsdbNuN}LA%(;t~%l{h9aV6cm z`~M>!yd5ltOe%I@K!fXI9x7#k9>g^JTWE94v^o0-N1n;71CA=5hsxChAm&BOy%`g> zzZ-Xg9F9x&9U6IHMXgx4T?tob1G;NuhIIpr+v=AQALYxEMUvvLQF@i_0hE3>VYSG( z2qeurz%WJiCCddR)%cQ4WQ774kJxK5Fa86VDfBOBYRh;cIb$*_u;AJ!!J6Ha69>7K zvwPnLV;K?3%l}jog1pxzH(je{vm+D});N~=8Z&AARZxsGdb>Cneadk*iO5P~!@oB6 zV-ZmmhD;XU(AM4vAW1=|ogERwn1bDA)iK3b`s%UXlBpoeho*1b{3xd_>nf8u`)T>5 zlnc3S))=Ou^s~n==<89CJC#tRu9Hdn&BufdOoAGGbkI!3#3(Hl1`M6`_F%m^-6T&K z+L1&A!hCE*W<`RHU2N^kG2VXVCgn(PDxcSnd%LeEv;?!5=+WsP;hV%i0XjniBo!!a)?`{n}nfmdU2Nkd3UMlRgzdm;F+PzQ->1*+8E zO<7iW{@|I!wc`;v{-5Q!27=p~jf%3t*=tJR8+7bIw%m%GA-ER)dh!^T8t2WDQ&(S} z)9QIh26t0+i^b*4yq(kY;loFCp;0Q%$?6|}fuJJ1R3nJMiO=9it3Tyx3+7Rpkk<@3 z(SnhfIRpBKsuV&IPm|`Wtl%iOeg`rHVB9uYxfXqrrlrF=rs@FG>8rtKGEMKf42*O%}%K z3$OsslGZ0T!l#9=BM$6KB6xhy617w5J^DkeZmZvDNq1V`N`*V)`?1IlNyK@a#`2M6 zuguJVhb2gTKZ7rjOMMCbLr3E^V#n^Dvg>MgBEZTk8cF?nJK06jxLUdtFqaDBP0;06 zl*dW*j?lF@Z^~4|I+DK~F0yRABMKxU=0BH+jc+sBYaSA~9U0>n1PPJRAtB53Xv@Au zsZGd7&6-ge5}Y~5;xdc714F@!tTcRo;gJ)|#xt@X7GsOR^}f~{gf=chsmXo&fj8a5 zPTr@y@WCRxg}W!taUQG)Grqsn!tuxyF4%F3yUkU<$znf5BPktWB47mBP=$H6M#qH) z>u+;DCkg`jQFNj1zQo*CtYeq8B1tsgrVPqe(LkHVESB{A$}~>DgmoL%H9G<&&i5@` z>VQ@H`*RO_%av^NJz^7HJ3>799LmR!_heG>7w~h0kG~l7j8^vPcOp4IxwDt(gjYwv z^)=+|3lT%5hH8P5X)Ei!_%MM#n^!&nP5`LM0|3kQTw57nzDB2)X>1R5xUrY@aTc2~ zyi}U$;DKDhsl0R`MZB^{m3?Fen%k*HTi=ke)!qO(sVE?7QO8pIS!2Ku4NtEJugFiD z&30*_id^!azUFnD*pz%4R!e{2MvJ@-{=qZPV!i!wR`dAV#=v?lHTd7W*8-aWwphq0 zrzcv@V>tzl$P|q*1N*{|4Ma_XF$&!j-{?}9e9Wr}i=CEUeqvcPFmk%GTJ6}aA%a|; z;Qwg4>Zmr_ryJbei&LP*-JKRFZY{;#iUoIqyGwB^P%5}P!QEXG+={yd`0{?|{7(K% zHk;keGtZqnckXoN&D`YP^|{5o<%!n#{7sXaGb(M_{ROp~zPSD1{vklYmN-pE`y&dV zMeFo9^`o@fMmMx*h4V0(V3yS{m{owjRhz5(G{e#}h;V9)Fn*V75GziY_4a^y1 z=;1ZNh}3M9lNjX#S^MyKG80_Y!`LBdzAZ$YBb_G|UvE}C zFE6Wq3zc6b8-Mi%PFR6<6u$fjoK$6_;&tTD`xOt^`C+4tn8e#IYr5IzX*&uRmRne* zag%D3pL{%?Z-U!wfevY^Mwj7*75Tf9hu3AlJ7Ko6D`VJGyB{8zW|~>0sS1Oa2WR>Bv;}Rhez7Ed#a)Nor;z&wE=}t<=;U#BBckoy?ENRfsF~rSbJrslv87Sd8x^ zRb75Z;&bIrCZX_ zYZkMfU+{;A9ai=mgDD{mnY#%`gwHE$fwhD#&iWkvj-Y4Wv!f z_snf`9i}hG%)x72FIX=eDUH6R`gc*^M?p@AawNSpgi+lcz#`<@B6IgPSDsXWwM)Ss$n+Fm%S^mx_gMmSx$?Lk-`mQ?sIKc1PxUM!Pf3!d+%_Opeh z&!kbj*6I)v9nQLcy*QBQ=VS*+D06G!jufx@IS)!uVs6a4+WHV&wEaHdXmaT>$k#JP zd{+5ubtoq_1wOPid=K4gLf(vTt+7gtC9r!u5GMpzNazedj%l+MCY-kcz51E$&}p09 zvqU}RV0cVzjN8&?C-X=88`Wl~2OQltr<>m} z<}g@0m{d)6whOV36(sk8NzTJaac$O!jFdY>VL?@c(woK3+tyC8Ix5Ga{*TLpn^{-~9 z<$}#$wt|cK7H!KaT8wG9EZ>8TdqW9-Jf@ptTf z#)(<~O>~LzS*-Ne`S_Va%Qi7p;pFjA_ zFDH*oAW$tQTTYZB>njMdogLxb@REYXuvz`VrR+%MS6L zC$BF2hva1|Lpinf+B49p(+Xu4p7S=qCiQ98?}QzP8!}WV<3}n~Z`sI_f=;U5V94(^K<rN#5Qy$Gx#j?qy1UyqiniH%n)3W*P7 zOUpw>=txiKO1KYsD3{cv>*WWZ087qizV42PJrxDbBpf&#YBUX;bXsUT|E5_mbXP-{ zdi$7fjC$10>r!vbky03CSm;aitiK+$$Z&Ge{UA)r%GukWaBx%j?5z}8pZI>~x^b`R z)`UNtoHyYuvn@fQL(oC&A_ucJkwPRaA7%v(Qd zls?2|IeH!_$*w;+#B1kF3zkuL7>vn@2n1Z~{;V6Aapu}aG^U$8L#79xOieD6$_O0~ zCmQSr;(v~PsJWzNVa}1VkdpN9DGR}L_p{PR>i$j7-eHuJm;9K6ZaDb ze;9lZ`l=%5@bS{oPMhMISY#CWc0gyILnRyTF^$IA{~XUx-cNE}TN+<^rf1o|X*nqu z)fEeFZbd9(MAdi?#hi&MG!C{C_juQd7tE%uW5MWh9n)g_`Cif@yYDA6n525;y<~L* z7Dqe>eAFvaDA)18pyX>!w&T$mu?%632I3D#owoT8<{$aiE*I@DmL_P#t3CKutQ$9b zP^dHA^eO+c6n`9$#=6KH_dZ@(2?r_0CG!emuRTU{!CS+y0EJg6R!g_uc#S6qp1E_p zjKr1WKsG?PEiOy;?90|K%ukg)pCI*BUISyE{Hl(Pj+vdTNF?QYURby7NrgUkd=L*% zq@IsW)3u^`kBnJpJ20?nw5Fs9JXsOtLUb_(dP29+eZI+AXZKx9A3s<&bHb>^)|ryh zMd)T!%wRW;!J6c0BDgy{gxBlAs3cGMG#Hy;GmLMOr*#YbHALl2_usO2k%m@kiyIhs z?ZT*Vj0!bqTiyxWb2MaA>O1#uS(z@d;KN-xwFFNC8Bnuf!a_vIMe7)26boye%wfX% znt%UPe62tUt_Vd)AboM;*(J>Q7#V7GtTlF4wDmx(q;|}P0K$r$oql_nGv~t6|D5<8 zTJ!UUpWaIAyyupk|UpUl@VNU&sio6TFm#>gF~2M2zfWXDk| zTP|l5*|)>e9=F3Hm+(wzL%BMYQ~Ut%Nb^9KrN7OG&Y5aI~aWkb~uQaZ+;eaGdBH%ioZygRq6 z$Z@WJ9CQw3uEQ1$d`UF5Kk%h8ZS9!mY3?8w>ums0fYniEhj*ihI|Lt!)V4JnE{P>pgTd)Db6 z_lT8z%Z_7m{WsY07m=lTiiQEa>0LT8f5;JTCpC4ikdQoI*!zG#IW% ztp1B?;;{a|$csOtzAk$#RSpCr$?TTjzNyq4P(O`7-JY>=fMQQ1*Y2iVbQkA0Y7)^O zR|YIEoBsQ|H~5o%?@b)u@K4tW+&HJVS61U&E_eFMn~Bmn zaIt51)~tPd5ahMoG<&WrEgDSKV28@pzLDh<1^2#bcsO~&z2K1uhox&i%)ng=w-rEP zICoB663`Zfh64pztsx-tBL@{mso{*vACaJS$pgyrjj}+$oPT#&CKBP}20|8B3*$@R zSEezsj&-oAP9(u*Z-L>dw>gLx)o1teACH$cCAnvt=u$a|Zo?7iLz(}0_X{)cHv=f= z#Ae}7`KH4FEW-EiP-xA$-|3iVuG_*YQaiBiNl^T`>=(B?cXwxo@xa^FHW%of;P$YI zWV}V91ZhS5cqLP#ci@ws(S6%f=dM2J+p97i;L3W^1J~AQ`NQ{8c+hUxJT#HAXnH~9 zIt#@3-i;Y?dxj)!o3k8I1-kXjg_UZLvGZh&eoDx5X9vN~6E_B-slO-~10g2t@ zy|<_+LsI*zt=CdtnEZ>VeSqMKlgWz58Q3j160sd)j1<1))%0Xx%a8eZ!bWubAx9r& zeonjG91>B>3xP6q_$4&Tmdllfn2{;AtB>_%bHZ}xHmDRpn&so-sE~5s&&2z8oQ-1vNJcxmy?;5@k&yW~Q<&b3tvw+P`r|tCo@AP- zi)BLyHxx?H|Ir`CQvlP-prBxbZ7cY}a8@BhAvf^d0MkH%+4Wf>?F)}F) zR)I??BAy+Z042>g_wAiu5a*)#sW1U#0e)0j(3grEoeZF9f;Aas>X`HgHoC6$jTwV3 z81mNya69~t3^h#Z6Q7LtX^4&l_K6{>Wq_)^Ko}?DmvP?*q25-31yHj|EN|B%RfjAf zFev+I@38ykVp{e#d<*OLaE* zyU`EP!%4iDgPG8Cn53|!rRQ2-ZRjK;&zMMtYoCfOl;os7aYDWcAnjw`f(ph;!5Hxz zko28z{3=vKHO1iB!4}$~8+hgmklz0}3IkdiGz=fv1U|FZviDx6s<}E^enZ6%o+bX# z&#j$n$p!BcWx&S6E7m$h`cBw>*mt<_x^3FlVt}U9HG&5Tx(hrGz&uHU0-SB%_bbj) z1o$a;lip4hYlX!g6h2D=$Z7s=l^n*64E&KDaxv+lN(W>3&zG5Q=0U| zN*zcvEF7lOW-A?Z>qUYLQ78XnE4J|p&-WH(&&w)}lnF!jdy1*R0rv2e#b}-Ku`CA3;fa6!GNT3uP`1`s^Pp~!uZLs2IB6rZGvAEG|J4gsHq*}MCz zPp(Q(mbM21{B&Dyj`@$6g^B0t;Wx z)wt?Ld0kpiK9EIcy)}q+YgazhFvyMPHu`A{;OpsG?3nJw zU_fc${O{chroEF%JfvGG0ooBta_!VYYh=(0s4fn#+NN*c+EV)vDJ`%{(6a}tDSc#K zyS(5s+wSl(?=8hafVT|LUgg+d8QdvU2NV14*QYbZ{zNpr#?e`0BFZKAaS-dER_4-+ z06zu_v7W7Ez;W)H%cT68OCpSO=WZYgFjl}v22TP-?lJZRd)eXiY@7>I9gW-JmRh}F zjdbhJ!4?B?%lC(=uQ3V*w>D2LcOe}3a+i+zI49JyXSXu`n{I)z@GuheF5i!Q zT^%lOZ;XOga!mpeEnXo=neK%c837x<$CN9v?+Tt+XabJ94Fek8iBGn^h68?Tg}AmL zTMr~QzK}~TT{5a=gIMA5Cb21hElA|#o$T%LC#_Nz}{#6hT2a>0S9gzjT_!zLpVwek>OV2`}-|BUp zGlU{AB+;P*xeW5O*a#PpChcfPV?PV1)Q?N;BIf#xpx{dOCZaLq(J=8^jhb=uUR5u! zCnrpA^rvf$HkHOVdA($uSA;b3gN-6P1=g{o)t(`D&*RN!Pk5P&n5V>LOPx#PBW2z z{o3Ql`6N-^`C!eh>LrZ7l-HuCM5qGHPe^bhGrPhAmvNqP3%pbPJy;SNzw}sqMwc@n z3|es!c9*sIWUpGzfcSUXb?ly5{AtLI{5XIVoUbvk^KGzbbB85Z2Oi!8>HiE`NFAfn z{w;Enr5Iej<#(`%9=<(Oy*O?(s_Yn^P3Zl+3Q6v>`H8&+{ zV3)a?*%Cp;hK#UwZ^Om|yfys5_ry+b(Mu7>j&;M%6gFZ?OKvR`dhdWiuuyIgO5^oW zF&?!38_&bAd^X14-D+kHeJ(eKDA2Tuc3W$w?%Nw*XPgc^E>zmGM4Cnt*e#|-EuTqu zi`VP)sGQAN-TtoX0JV`e!rbk&1?1^!WW36Uy6gNcupG89Q*id&kT86?ZE#0BU>OI) zIvqiw@b7=)I+p?!5=v)?i3&^WS4)0i%TlefzI2MIqHLt24tE@RI~kI^kFZrIVg6aT zr>CxA$Rln%MJSrNP=YstilfS8*cGO;is||@owDaN&)Gf9PC3>V#Q9yL1ov7+_dX{h zvKq=T%%@Idld#fbmN~FVH<24_AnC%EZrr{T^#Gsw zN#w}4DgnOP|Ss~J0H{S7A*ktOCa*A(`>*ttm6a6=mn+x|+dOCcOAPsb}XNtwSH z1~Al(A0lPAo6jzu%G9=Co z2dl)s&9;(e*FrJ8+GjhDeFusTkL5%HjETF@OceNi3o;@+;7qh904sktT6*$FvL4IC z0SNzdJgZkMalR>+(SE;~SFsd*xGou`{Bq9<=-RPJ7}Nv$y@M|~q?`xRtB2Mq))a45`I_cMRLeHzkRd{buOhkp&z}KZ zqg=FolQe#~NZ$i+$yzkMZWe(Pqe5v8wR!||g3sc2t1d*pHpa9$yXqgCQ2FIgb4*Bn z1X9xcZACU-f(#RQ$SOsY{e7;QJyIX=v4JU0K~_ui;ujv97`RA^ul)3%4U7=gSHwIX z^}Kz~WDW>r^|0Lxn{+z^eop%fJ{xl=>te}18?K&fko`L%)qjW-Fu6)$mWZ(7RCGI@ zD~0{}Iam^fQ(!~n4RGGe!g8Lu)`o}4Hik9iSaC2?z=y6Dn|3st0$-ZaIiW3yvlsjb zyi8Uc_sRa`)4PC&Fh5N#bqp7&HnIu<*9DKH9W97)h`sp)lG=v z@mc34oH;7;oIbp0%4fo9MeSXFVAAYj&o8 z6p@;Bm)fSCaz8(SAjOp$)DU~Vq;Ar~GI)*Fym0wW7L@b)`tQs*8tb*|AaoPy%Q0xr zXH2*h8h`IS7Ms8oGn`2TyAYx6ixZl3J;9N@5?3p`YjSetef01KDk~NFZ!WY|W4SbH9%M&u5y2AD1`ME1`#|&o3 zhX3?!VSE+W(@1?RSYNQ<4=l$?NB6e)+PG@^0fp zi|9tg%f7=##ioplFwBBPv@lk5s%#&|wRy1lEU1fDu}PXvg=l}<_fw}AnZAF0(tXJD zqKdgMRFRDjHTwYJ76i7?u1g6ujXZuqmL8oWW$*CDSO~cFT&uMckbLQ;oSK=Uy8aRi zb+c(GcDtzUlJt3JptBc_(NOw_!`8_bgWp+gVr>B>d69wD?v8)Y%Yhp%PT(v9cdkI* zu;ti}wasShpU+Q%2y!|>LYCK`Qu>yhu?MPx(rer)(i`@4RZlW_DAM zZ|^3w(;@!)t8P%?KKW&Z^~-ZKb4f`xnLxL~m{${D>b*RG3!xZPyqM zgX0S$IS*Uid7KUuOwhC-CW8Mvum>|>Bm5;}T3@RC-rrkN)NN~H_R-Y#OoQ86w!A0s z36)Lv;=#Up)4(f4=6;tC2@u3`qk38q80e4n=y@k%uy>8|pp!Q@q!YkknQL13DhK%C z1i7BX3noN-?-HMR$7}g5#x5I9niExmk0Jo4ibO{|0Y?V^#W8K-PO&E1@*mn;gqLkr zBP^NzV;91k_m71OT>0djg-x_=KA;@ncw>j|t3>B>VStwd&+;F^Wy;lr?V7VF^V-?c z0*Z4I06g$;*WXD46b_32?LTDBcN->sPE}^@>dJCkng8qGdu9~SasMcE+9&tbIHK<5 z-XC^>292DARSD?c-BF$&O!`q#t$KR0W%RT|1?wtG^RK5|=#9i9_I?UO;(avBsr?HY z0vh!teSZgTrN>_Ttla@+RXn$?zJK+1=?^TOfpa)sdCv?JxiwNk@UaD%DvL3rY_Y?# z2PQr$@;VCd$-0-5SA?mIMK1X+E>rfgbAtMgs>DMXDm0m6K80!ucc7y4NvXY*oOiv8w>z--t2ttpgdbL$t@H>9h)dy;LZ!6E3ziWDdhT z1{&UNnGZ$YNcSi^;RvWmIy6^8?_9_hfBH5yp#bM0o6FvCGHa6k=aKrXG zxcy?j83FYL=dN;a2Hs6_cXGS7u&0C1S1KU5T`pp6e;n|-5?-h=1b+B6*)O-xfejiJ z?#*8PT5R)cxzy(s?`#mhJ55R2bNYHZ^{#kqa{MOCufxYcfSb|wH4ges@itK0N!(N4 zJ)L$dB_%Ncl*zdI|2slxDb`@2LjEp+rjIF2_v+hZez2j0_J?8YCl~yGi0W3_po`Hw z;j1x})2G-c6L$$xJvlRhD4F9~r4GL_3a3e!`7dQeK$r3dKO_ZbczPH3@;2^_e*X&r z;oSUua28L%BmeRGYGP5(g7Y2Dt=V?dY1ohnM-x7`jsM9yA7E@~k^X`@cjB^n(U4E6 z>x%1m7-a=z9+Ni0Hw@bWWjE_rlNdS5n<}$ow{*S~%n7#G5lF|g?eBt=*g&12eoq(Y<}*+AZx&)5psGL>xq z*xH+4QT!x-urLg^-KJ>@5lGLqnf~Tzgi%^tkMW7QIcxR}wyRZC%oaTKtKC#|DSxdZ zc{(s7Fbsq*J2ydBNnZ|V8?f4ZCkJEO%zb25ho;Beg{iQlaZijkg@S_D@{1su_50=7 z=FS!ozWskKI?tHJapO`S!)|9+rzOj%*Tq`h_@^EpO1{NG)^sP7!*A*0UM(jG*NO6e zF3e&d<}Yo7o^AYM*2fo z`oJD~e$B(d4grq4m9Cd%3+L^$0CR)Cd!mJ5Jy(&%dGno+D0AD%kquAaz%;V4q5i+J z=8H>AN&nU8r3M?r^PB^0)fE`|dA|3#Ux~fHkB52f*2gP?0m}t-cn+m=ZDl!xSCy9N zz4(s)y4mp0NvC(@-vL5Bo;}8=1%d|ruXoR*)#o_jX}ri}%;5%uF`uKp=^TZtnXTn8 z#@t6m`_#AVFa_3sfly^_^!txY!r)ZL8ws78IlRZQc!H1LcU72Mc4I}zQ+*C=Z@%xd zAtd8D{@$QQu6;A9;caWtGE{&_EQ`lLS$*q@P3)IHNOO{D(7I0qWEYf% zV-zRc!(fZ;yCJzkMZmq~7e~ozQf(+9h|77AC=wq7^N<&aoiU~do{XEiEGSqgkymLc@aEyrd|0h zuQ(}^bI#0WS6`v@2J@WHC3!+?Z8Ym*zRu5RRYDl_>5@y6*)9>W69!RFFS{=xqz(8(XK^^#)U1SQmkh z+wB(lcnt)&Tf6dDbMAdb28chbeK^pa3Z76-4@Rixuit9jUEGc#Ib-Yl`ks%QpMdC> zN>~0miNon5GpC_#qpKvWM2e5YbL)es9VPBqre@En4f95H-a4Gc1ZbCLetDyQ@(2#@ zTd3Xa#CuETK{S%->`zUz1XDHim^W!{RTsb0w&^u^qd)DVXtVRFMxR{qea0wrwAWU& zt&=wbp7=e7;W=7H^DV^p^7E*P{NyBUe-BD5cKw(suMH7z`*RY>?feg7=+kM3u+M-) zBpZN=uO+61??f-^mX|1y01z6DtWvg!eLDZc%st@l>^%R@_+(|J%?p6`x5KtzK4R&R zM28qvX}gcM-U06ZZ?C@qtg#BC}`IXWo3-6Pc0C=v;ZAYxTTW zO%A*w{w3duom!O;y#!K7Ie~xnFZT0ihk4UYAtjEyZMb?g6u3^jyXZkZn?Y4C77IRerO*`;^ta zC&*}-)$;pb9O&40_hN;W@4u#=Z}_|)JD#qDDJ1qlZ@J;*WGlb_GwYA@dVXm~KhF7?;&-?f^4%MX!{&VxoxnI{9S9Shss+{B-y$}=4fG*Ivyeehkf z)_mDL@lYnr)$%3A2r%j|r{2qP9UuLZQ~nSe+Aw3ngCV}IkUWb~$gA7kn70nEBBD;C zN{IO(O8j{SLd{htMILD$-4O?DC0qAK2%Zl9A}EI0@e=1PJjf4P3K<)VWOq^is9*Sc zF<(~D8wt#D@&|m}LiPr23%zA7<6u$1PrX>O;0>VAvJ@p)nXUQ$wUJPr1VuU6EP1j7 zSC#91x?Og++=#Rk8zv6nQ!Fqv50YRoYxdI^OfVtsZHS()sEvaY6-liu@fa*fr^YrV;%yfSY@|FdTpKXpuCKrv} zWEV7WNfT@x|C^^X6Nu+%$yqi;9G<_p`Er%)mK4co{DpXn?6wN2f{HgKj!N#P};Un9YxD*m7l@?FK zLlzSGd7{m)^96qQ;mDcfKzNZ^y!$p+yxFw4$)v*az3}qG$Q^q>Y?&DW4YihCPK$Mu z&qR+>rU(i3^&uvP2tCn2U!n!j1Lb`|Q+c1zX(uW$%LO4lVB^{LTmErK zTKK-Z>1GJ-tf4g*7Jcf=RMU)-kLN?({hH09srjLt^Loz3CLUM!ll5y$aJN$hu@V6R zyek1X%kJhXFE|u<`*m__QmPdZnCD4VI}_b}d?|N7b@q(sM=qIjMJ%DTm)PinzQ`|r zJ*0l|eCC7*@ZT2;3@wwYB?jL;jk4!ScrXGeF@T8YeUpJffsku;@6#4ID?MhsR{xlK zzy5mJMwf~8Q94@ej;$-_nP>M8&Ya?=qjv_qMRDxMgCSIaVhSB45~MaI-O*3~v;DSX zMY4g!zY9rzl~ZotEOb)&G^L+Zkn}&?Su}O}Yz;X02-|~5FP9{B9VzmCtL?uptQj00 zUf$~Z&BCqWszbg9UYMLuf45I&2D0|8(z0m9-Mu_Vo)4*eZLpc}+GuoMB~A>E3$2I2 zpJmsK*15Hyx3*Re^vOgrN`VFvUFCnsn z7Q>(EU7?vsvI=8V93!>&!xBXDo>e5`2K6}Q@{hZ_4qGj56!iz5GCRq( z%NHd(soN{^Q^1Sjb$Hx#T=KImus_?y&_2JXX<{Fb_eESqcFbMSdZDyhdaMKYmZU3Y zrku#Z|8=nGS3V4}+xrrO8g(*3#*_bI<|H1Dsn(TCroQiV!`*#8M&%}lGC(-jE$@6o*ErN(hyL~;45l= z5N!FzwP0Mw;nmt+8w)N@o)()TYaD1LgWhJZE}G86m%Ec)_Z}@I5v|c(qwh;*;9`ZveNLpy5jgrAbJ*g zrG#`LSvZ=d%{HR+yw;%b5P1dTX{(vUK2Sf#hB@r`jccHRD(DmF=u$Gxm}X$SDbI8> ztk32CMWVw3Zf@e!FrpwOL6Z0~R*sKv(0083be{S`bUrjogkU?nY17wlKPv1Jp^fE2 zKCw4gxEG*FU(1GpSls`@b;$FEjbhdd6^vMl9^vdR|GXMF^zuFyjd3hxcehBxLgB{{ zLKJn(TDe|uEe?=ZVz$!(X5a0w)_!0xm z!ucv)3V5ifu|%s~A8sUB5nB@1#oAm(TLqk$Ob@6Y`_!fs5Wo`sX*`?Y=rj>THfaiY=LFC?*;1E1$vusodofa~8peLo%(^$pAZo@P8b^;I*?s4ZJtsQW5 zfAY2PQ81e_+vTppDj1p&@t^R4*~+Ic8Ob0J<-6xNi-Ag>{GmW~Weay7m7q1OSoHVxNUjKQ38<`WF0E`9>e(2?)vfa77R>E0@e zDWZZRoBUxo6UxyK5~Ck6KaArGXF4FdDG|>8VKkw#r5PBz`5ycpfr;rV?)iwO2w9Ou z#;653D5;c1YuGwIr0K91MlFi2lC2R3=T|jE+lR|7M{2P#2(+v{7T<^vkP`sWjN_Wl z)5e5tgxk$7IGMs)TWh<;M#t#S!o7*ME;3PI>4Dh42*aD=aJ0PNdHUP7m-X(sB55FE zF%V{s;$mYm39+5gX?l;|r|_DIOEW1B)hdP&h(mUHrNh`qHKF9F~gedU0VG}S!hJ#PSFQkoK{p3xZ4tvh)e4?jFJ*4oJG?%Da38I#mY`H2g5$g z&LayF+Z$d`{ZDujPa8OXAOlp*lA*T`sO6n>bY}9hai5P22D{%+;vX{nC6{AYWB{@k zN`9jXegk6$x3`djrOATm{A& zdh)=L&~n}3`oNme9GvHU;NxmwhNwPL(FPqTgovD1NwYq!dHWGE&X|^@j|;7)_1teVQKS7Z_XGImd7*@FIxyQF-YTuV$MA;KY_|h@&r>zDw&fNHi+MU z|3pC`@8L~8sFEADS&!K`EZ^t-geTH2arU;IUVJx62p8B3>=vWvHPB$v`vz5?W98}s zUNvZl!iDhW5vfKlnMtY}O!Sa>GnHIt?7x=Y9K*#7?MP)h+!F|xIb25{4$}+h@47?0&h&*n?U%JKA zHfq9C2VU3(K9_@QQ>9w+t|WzDaOky*RC|Jhp?rkyxjMwZ$ysyio( z{Q-BPaD6F31{+oU9qjH2bPh;2S-{W}KR>^9JfQx)!=127>?`tA9)NnYn7A)HTIbzeNSSHOesPsCskbPjmgmvZy{}N*0jPhjuHc zyx*X8S0{iX&xY^Em48{~w2VUtq|HQ?lzwAftzhT@VEU4nSr-GoTaPIzFfjfU zO-n)krqdr0*giWgz9EEAp>5#&{AE#$a*WP0_lNzz=YwSmV><%j8I<=2SpioCcPX-i zzLps8YI9wyA_;c~I=&=LOqA`IA; zpXDkoW;+|hyp6*mh=gr5pWa!rw^Mv@O?LV;vddK|6=8CNj2I>TlXpZBLoXc>*-{T( zJKb8?d4sN&AL+YNp;ibZ-!`8z->Ba#4>c+T_p1UD5?m~kGO+sIxFAlkkCxYvUCvt> z4Y9)*2kmKn69@6T()$cz2>bTHQPbGQI0a>{GE>{&_pUd<ZsT>?X z&ec>M^TKW*1?H~z)61p|_l!Czg@zdbok45g=Ois*+s;F*p`s#S1r--t>p3JLP84X^_7orn3z&?BlKE^cNSAA+Q(IAzGWAEW(^%- z^2?RsWd(OTW6X;~R(J_B`Mi=7dJXcdGKIlVc=tLbJ=kh#fDJ$aV23boCI>qrYNKNE zZBPC9@TrVNX_uEY?BNgmPdik{Ur8iW~(td&2oXY?*1LGDDz> zY5&>OQUr&ci?4)5EhQHgSD=VKF1Y>Qalpa4cH|RHHZ}mf&Xd*K-*onfsj3wpD?Xr; zARhhoGrvL+!_X)fMtI!N=RMl@og(r_14?h11^<~SVuwA%x6k9Q5R=E(*Z@;SEFEmE zdw^T*NAo6d3EJ1b_b&YDvb)@(;0`@jUU6fF006rMMJ1CX|4!EASzC+cjkr`sAUrN2LH1}8EkDt^eOUcBqK|sl$auA2!kHw9sYag zUkbz?Z`I$=phGAq!lBt*l^pvng!stLW*tj^;u|8nnCevDUWpauK?b(EhOXK^p@i$& zk@xb{9)$|gDUKcMnSaxyC^ro?3MWhaKy+4SSwAx!(8dODNF>p1E<}QJtK*~^&yBmi z@JzbUtB?#{yTC5Qwmw<=sj!264=;qo;~gD7jjbLZH2aMTFXyOAQifHe^seaI172V#ybp3BB5XCC ze38S%3O<>}EsHgjWQ}m${U6Km%?Gy+So&}Drz%nc!Y?bP6$rq3j&2$la7MjjT}U`> z2zl2O$0)h-=9!$@@xE?nOO0;4OUANnpwjBQi2zDl{CIr<=BH__B)77q z%68X)l^RBvHNZMHPtRlEJOiUI%q=)@;8UHM#xSGcd-|ZEuA_6hI9e%)H@jX;$_Akw z<0PXwK731TtiT5rzY;Py7qJ)zc@+_!>7c@=28t^a4uU^!SKKo+3Rd9uLU1>ja!4Q= z-oLEbRvbiu6{Rgf^A8&%hdWn0YYJmqYRx zH~UfY$Zc#bL1+4vp^NgB9s*)e z9l#74L)93Qzw3i}7XC5K3ZAPhRUtlb@?OH*9*9Tkb+F>JDj_Uip~bjB1vJI_A-Bgn zATaHJ^@i=5WL*n*8+2ko5}*`>tSmW%weq84d|ls2Ov`?CPaq#^ef_$B?v%9>HPN4^ z8}MW&r-r)fHVi}meNvZ92EbKc(gJT-X>Uq zcNm*|@7Pu&`Z1+gggTDkUe($vFW*7=^Gw?3w@f2(iIpqyPeTs}ydsEzx96(?et8zP zRYuSDaG~CGEPFzI{Q{na&mhh9>7U->NtG_3hYBhl_)KAyE4T_!{r0^ANbKa(84K(q zz2z~=>TxBV%{Adysa%hlEsC)&-EB_3AYZw}f7%UNwIi$+bRNG*eFO?qnJc;W3dc3f zs?AG%vgN|WTN%#c*(msG;~Y1NKJaM|i~xeSbwMh)Kd)&4;dJ&@soR!cE+qZR(L zYe@2{+a$(DB=^6Q$?v|Y+zlnDig~-J{N83$51BOMy-b1bU+y6%qI$LJBMAf;_QzoV zLDvaxG~POo)@LKon?RrLN}5m+1^QAE5j~uT_$W0Vumw3$TUyTMjr!1JyU3I?;P{|0 z&v+83d*rh5(HG>Mis+5z$`3*ssz}lLjQ*fXk%HDld9Pu*-9i=VGOQ}5q`pFK`a zB!l;xoWjYu^sCVqIlwg716`+*?XMOYe*RY1#JOnvZ@>cOa+f$am8qk)-_1B41Yv>( z$6Uhuc+>n1%SK6b3#%e7vJRO+?-dF0nTCc8bGvl1{tqTW*}hOB$q(c7F*Bq^w(XVd zIdvI;adjndmLXMLWMG#ZGvFFME~~#~0?FvYM1D5^+vi!vNYkoGuBCD&n|DMRHu(mB zR#-?w`%S3@bFN~7#NusmVsoBbVv~I2@#Y-fiQUEtjH@eyGrNV?`pA+DOLRs?w%$Pk zFEXnxBD^7OWQ;6L{feamyeT1rL#puR8hFFt4J2k;GV4`}b|n=hCYh75*K6$YP7-5l zQuh3Ic*Fa638}!Jyaq8a*+j3!N2mA5(k)9<J2Px4yB z!xD{ir!-B6IlLK2%4H*q#1Y=)@P^>6`XXzjGKN_#$Lv=1YJU4mNZ?kc2|qz0zn#TV zcxPz}*#+drdM&&&#@v~6;p7qCJ{Rp|2oszfe9|TM^?n;-vY@{ad~}W1s6M(Bxk)#c zdG$>9@UHVaaN}RB^18w%jOF}OSCa@WjjiZh+=)f!cHr7CtU%wOUmaG=?~#3UCyU6C zfgE*p0*~2U2A<=$rjM6(@1x6vdk8~*6;+93xXgU<$bvN zw&|$sHRpi-w!~xvMs^r6nbr(}mWbXPOL=80@kHZ`_)t+5<>V@{GcKM*+}4%gCM1+< zi^)zhiDdd&0-gEShDM2VFo>XP6Y_(`li!;x4}XlPcjBa%qe;9swutkUP&kVG7>M}o zoavGIO+j1Y&Bim28V+b%5~&vDAc7GDL${H$>1&?q69HJ6#R7|Kv_70t@BJZCQv z9&-su70$uCu9l~o@_U>_SfF)K;j{w~NgYTkeN4I(_Z;XVWO%1KM_R8xqcV?T#%fQq(Si$BkU08qbdfavIdTid( zg)e^c%V=$Eh1}9Ot!Ww-owE=dH*N6zCO}qVX&mOxY{!ztotAY5i9*-)+e0e%F)YcgMMlFn{)$4sRJ_YuBv};4dRXYUz-|Tr}cX zWAWa+Wiz^W_COpC_nYd!eO5cJ|KJTK;7u7#_04FT*@kU9yWMCG>7y}?ogcp{L!6i* zdspBNg!1j`vHDGtocbnvcKp`3kybFIgo^=YMw(_wY#^Q_gfuk?t#mj;Qeq^f#P@I6 z%NZj}GoWmfJ0R4RN~nU6WmT8p5Xdukqkz`V<~Pxs3}c59m-P`i6R#8OPof{4-;(+I zg?L;2#R~V#u2eL)b()G9XW*(yhUW0L6wcBND3x4psjieDB|?@BgTZcPpT=9(32C29 zzShJW{-#}5J;ECWc!M;X^LR6-NWnHqLxz`}fvZNhnk}8hcqfTT@(}^$Qi6;UWISwW z=bTc134)&aH^a}5Y6f|{U0r~)#1SUMTluhVkFv(wCX<9lWG{`7@x>WZ_}^reV45+I zWp+y^T;D>%EKOaKPw)lqu&agC*ml=gYY#}#0>F)bu?p`xf03sK!>y)v0Y7P| z%$RR(Xu++Y_#&39c%R23@X@u`5|58sHeA_pW|zEh7d)Yu-`c7%Lmia`uan^Ytr#BZ zGqjW;zePG;@0e_P$L^yEyjQWb)(_*htDnUspMSHX{n40AeU2t8-LH8v9Cj(#3oyo_ zv)=AeEr`4#lW)xA54kpP`;`Pvg~%_Sog>dmsicA^0S?q;phQ5yH)H74_xP_?o_ff* z^P_|;N6Q;hLWepQYcImuK*>!^qw|}C@2b5%W_`ef*UkJRdvJS+(M53mNo z2sA}KYU2sEj#n&K3c%1Eky*Eq9m!fxjIWcpzCGY%iddy@7s+iw!?S^h?ZC)}6-8&vd zmYE4>0?@a+4{PjMbwzR^jWB=<-o6MMZv8v7pFS^FtGS^CotG}d%B!wNQ$q{-2Ya#R zYhOSqA(U)lA!DGWu@(MyD?&K4>}T+n*mL%D>G^q&!$ad5I}6497DEp2Lz5ip`jtu7nz$|NwQqz zZB1VLiF{h!o|(DaQpvoRDbU?Q4^f!91viF9!dr%`U2O=qE4d8bV4E-)}BfNX$*Wf;U6(_G2&rxdz_O#)%k>f_Lb=3$bFnebNdrt6MUMw|BIm z>J{Q$N*vxp`wtkr$s~>vdoCgizbV$BrX==vvvACKkfns&${RN-HQuo#2Jc`C zdW1K#AG;ymzRaD~rfj(P4LBIho;>0YQ7}Fjq*q(zQ3!ir|81->nP}dTVlw^S5yxcg zzsG;I{nipxn2=L-j9rdBC=avt(Zde4ZjL-I`{%2l{URn=bhI34X|1o~lb7|o@2_r5hEe$)kA8Olzrit? zMZ((_^;>NPJ!*MnNj%DZunZ72BM!LF=s!GZN<@RIu_JMeho# zZlxTPGTw0Xkn?jmZd2N!_=)HZO_8vMV5{|jfhELEEq-ekA$*4dLgo1#La2*V z{!WeM9UplTxSwRcXD$%;Mn|_4@>|n5biVc271)K}Jv(}^@!r1y!07GYg`2;AQ-D?$ zwJz_@J*^$9uDZb)VE`_e5gEy{3>^y=;md#dWprNp-_XCig6i-v(rRk9h}svEh#CM~ z(g^^TT)Y%>XSU_WY}eNN^J6*3g^=I+cQ<-M#g5R8Fy+%Wms7xgLmp_N+6Wn7Gm+$@^~kLcS1-l-f5;+F&-Y?(r+GbSiCcjH-xO@#=0Ag zil;feA$XI;8xC)RhpFWFEjCV%HG6~y5z6HfN<`o^HS(RRn^xVpw(oO@Hx=LwAiz80 z405I*Gv)Ah@4}whM_Q`b;my)84Bi<7!_}%;kqN&d$MM^ZL#@+2-gTuCO7;?t>TuQK z9k4sVJ1fB3wOtGEECbxRs1|RRNF&$2k@qCPJ4-VR4-eZ_jJAGe+NxSU2~9b`+v+op z@iwcd>q;e*lLVZFc-P{0j5p`-_Wl$cZ}TPgpJLz8w8M^$z-tqe>4ey88I#3+bgh`o zdy1jg8{4ALJ&%3#Jj^-dT_Vr>Sn}th^A}?NoHj?(%r+_f1~V#yedyY1b|!4NcRl)c z_gOix;~b2IZ(oGDGusp=!u}cFH`E@0_E^~AE2F}W0Vc;+|027~ROGz~Y2_PusK_39 zF(SX=`E2-J+ea5(XjkmjjLGKD7&>|r?Q2*rI(r|kT(~=u(^n%V^ZbmT`38RjV=@uM zpm%Z;Nw1`o^P1@}3n_&p-V$>#vlIu7!3RQa<|bZopH!lq=I7;AI)}tDqui@R;$->+ zp5U4gBjo~T2Kh&lgb3=W@80DW$T(OH6v#JmfTwm?2wsLI5#cUBFbc|YGw)sqFGk|G zhS#gESeYYOVItZ@I}ahhLl0lJGH1%f2}Gv8;wPKLElFdF-yS+Y3bj)m^4pFH`+laj ztM(7}V#B5lxNFm$hTjEdMvn|xeZ1UF!!`J^bJ<<*yG(;xL}d%7i4_iyEfg4Z9BRh=CO?Xb1g!C zhx(igCfJMhd2fFoKJ=F>lrj*fUcEwcIJz05Z8O`2m!-eN`fMITOl?`TV?pTAq!HSzT%s?o)gou*y zXjO2GTP+)&ANk=ka_^h*FZ>o*jLUB)1)@NM$R-_#O|ryJ*g)i+?O30aTRH`3X+vN3Bcw+LbxQPUY#WHvFDDh1OWENO?yV28s~;CY*!eQ z3syu)IhrZ*CPYXDZ_#IRMmWn^X0~s#NfE`kj2TEXlbld^n^m_a;gpmUki9@v6kAQa zZT86UNw{k2=q-zx^@-e;${Ki60p83&QZieiQ(}~1^t#{L%kJztjW@HXR4j^vOnx`y9kP3#(Rfj2Yfs>zvzY~d5Uz4rmPl_xL<7CwzP zlRsD1;Z1_Kg~8I81%BA^V8;dMi1 zlMV?bV0+ty&s7_klL&9&Zw~K-kg{LlMKRuX+_Azt%it!_GnZgPfH#BfcKOu#hqBU+ z!NC|JCMDktaE1(wBul)Gw}vNWyJa~^!tpo?-ZFZGjtLpOD+3kySjAodGlI|NeGQ?3 z_fNz(as4Vi%JGL0^tt)@jg84d=|QeCrA0gNSo zyc8>UUK`-X5Fg#+Y4{(GkzyWL*bB~CEIdCERu9^b)Ad?I}p(nNq zj^F-0UtWutthIg^pSrvcN6TwluI$(g2H~$aPyMZr$pV07k}h{?RV<;0S6TkYiRBSePEk=vfbQPS<{a|{$;obiu{BEg9cT#Lv?;Ty2y&V85p zB8`!A^nkn;Ia*%V5*T-tO^Rnn^Ysw!>48o(aNtc6eB*1E+fHQ|)M-bNZI_=rN9uYzC5?_#IO&(j#>#3}UjRnE13i~WKK7h;zr_N<@P@Vqzl$8Gg$ov;v7s59Wk`~eJ1P0D zO&icWwFMpLF7n0;CTTrftro7-Fe}9DcLq8y=|tPiHZU_98=7%J<03~pb9n*bvBw|9 zhD{p+N_(nk`i5vz#3NTjya1;^2m!Ee@+uHG+h!&k1lzf9dPh$D**|81tPCwHgS}(~u3y-^K!3=gH zNiN%@gPmYxm@%WLKG^b%5}<@SFc>8}1~_As%O#uWGUL{)JTjCqhtj9;tGv7eX#6z} zfmHtnmV^jYDx3FhzsweI zHi<}Ppvq=EaLOo^%cxeXzHhMHyI^I6k)`BU$3*%$k2he>pELbSYAiVtyaAhFBP+vj z7jbA%5+ZP^>=FQS#$KsxFZW1=-*78POXWI)HvwR>mwfn!*^b#v;87SC^BaI%Ktct0 zgMmyXotTWHw>WwiaXCEtFI_PNbID! znRe~EHMr}Ge`{@*vCS=wEv`;T6ZZa^z(Qhw46JI(Ajfa8EFehif)&x>p5MmGh3}W# zHBbfow&d`=vunqXf7rx2Ir)uCA-@&gV~xqQ&wAr!eQ2#8F6i}Wd9};C&fbTIpE?GQ zK0OftetTmwXRA2SUB#MxY~_fPc;qF@!(gfJofAMi@X z5JBh&R^J0bw(nV5CoH%5#fD8QI0mc!d|RfjC_As7fVR^QV(Fi}SU`}Y<&7wd&fAZ+ z(+>jh0@;50A^cl^D9ENLe#=-gj{(4D*h9e&v;JYoE(Xk7RJeGbg5RNPvCRjNI;7Lj zbOwnvXa~_J%Di$cY8?R%i8w#x+h#Scr5TwmlNF`}zs(oyd(g1CXR?l&6{XqJ%dLj6 zZ_D<{RMT4m75J56+A;RDQ~E`{BOYx?mm2bI!A~QdWU$Ckli$K%Z8$vZYw$aCB8&JP zVyXFU@8WW20Z7yZ`6K7Uop-$$}{m2rLN32D#;M~-tzG;VOrBPvt0%r@0B;L z2>9*fn}N1jZCGem(rLU`eEu5r4OA3nZ1FKG9TPB?T(S)FXU}shc4Q^h+I4Fpeghy+ z?+j$eOvrCI=iEOx&y+p1e3LcAB74%GyTKX=CL=t*!?Tzea zy1A0!#NVt4VnE-lNd(lD6Q6{$j*29llEfsm(v(rnUG`_tiIi9Vf>Q1``-II^GDUGI;VbhyIT*-BI|;-?)}|*o%5|a=hXR~ zsuCv|l;c4hLv3c%{5UVD2sh;F8#|dh(=F4ht5*0s#N#-SGXbd})P(M`<+orW(oHU+ z(A}(BcwWhwWO7rjmV0i?ZAZGPN;guvOLphUl_iQ=;a=_VH0TDq+kgGktCMm;r*spc zR=Bp>t(JEl3f?V%2<>)>a-s#QyBkCce`Z|7n}Q}Gq9C8bYfZVr@OqRo!pKsPD6LBa7_rgS6T{OfM1&Co6LNAws7d!E{ZhQFD;fVvft zg`ak<6LolWz=&rs+Fezr)NxyrG0>)yYfTpItJpSFZBm$oINw)tY%{!)>;(sFN;4(C zOi`KFu6&1&$OYuwzwhkaE{!VWoP~u@Gh=9U7<-@Ei++DDqBwV}cq7>80dKL{SS)Od zcWR>tN1EoepG&cKV*SbsI9MB9(WGrQPTQ7wo2+kmD!gSZDcp``O(ylOIr{}Hef1)X z6PEf;YvPjC2l3G_yw2+;hgdo{|D~_Ve6^6>2URI^^r@a(n@lH}Vo4>AVCk}DJ|Kce z>Y?zjVUAa9!W3E5yF8Iq(ZQirg@Tl%d|Zsk!lg8f){7AiixxK?BD1ZqaH)4*8yCOt z#p$x{)$}r_b64brG(Y72t>TSI@iuip#;O={aeRv-3c+|p5C|NrHwqcBa{y2w#T>_4 zEUU~DufOf#n?jQn5L&70@3B8|R(ZQQ)**cHpF{1cuyF=K=+?c8hD6A#`p$sA1;`6@ zem^Jmq=qmj38o@CAJ-Vz*FK4q}%EvW^Q{yY(?J2i}4nAy7}Mpcxz{yr;VIJ z6pi@6WUr8{+~V+;8g803ag2m59vW|zCx`CT^Jr6 zvV4d@8=02FTXUVlXNC7Ruo!Mc>c;NKb7uBCA){1dijm8ag^X64QF0Sh)WYqV5(!o4{`z_ff1v`k zLQt$9Eo2>=$kPn~FTC&~>Rpj;lwD|-&Y9<;M1Y#6TLyLEWi~}UIXT@907JL8+gfFb zvKi@SIEPnCcj=u~F1pTR!m;fv-EP;X*JTvmjyt-$01&!n)KRNBykoyy*?55#2WOCSE}-Bx6wTj(r9eXh+SN4Iw(2QdZFyMfVx?iXKr z3H4eX@Q&-QdL8Yu4YVSDHShR}5j!4(KsNr`ujM73nUUjJv*Z7er{5YV5e4 zl3`=i`J&fcePMk?2)P~o>Lndi7NJDxE2MS%NmE&qfkFgZkkzVrQY{6VU*zn1CZzA^0xLRF9I!OxGV=4H1w~ z!mVFey->+Sg@Mzn#|&Ta<9pP+E>MOUyjxncl6t7lZlzSH`yyZ_jXhX3AOjQ@NecF zZtkI+jZ;Gz_9Gib6COBr%b5nH?NHnT#6V3JZ=!%z`I5CDjiRK@ML3UVO7dS#KNBgg zt1BZ4ZLYad#BpM!Z+if3P#GJ^M5YE4B@sr28BAK7k&m!(O1llz zYBeZp^;V3$a8hTM8^+07686?Y2`Av9fm59mx{uPfgu&NTh;)3&? zOhgX=03ZNKL_t*Uf*1u#lq#xAjG4BkL(gwt7jaZ1)5Y6~kC@AqV>Q6s^BmqH(#2_G z^XWRcaz04v^z4MZ9=~Fs3aw&v1-t=sZi_SgC~lbuixxk|eIHEw1f0I$f5GfoGtq3- zTr>v?^q(<+Jx}e87_+;9b!4=Gn?HSP=x?oF14_o0Z+;p3p5B83@vve7 zTy*|=w-EPpMi%7Vb9-^ir*Fab?`_AHZG&oNMZDcYnH5hgGP2#mj6n8_HBn?@jaJUG zkcyOkis3l`fOoD~14>$c{J-tPz8~xj+EL$#&TyA^47_;&3>UE>=L&AV^QI_c5RGPy z_qy}fhb}Q(1leOh*oVPy-W3{%_5}Gee`1$Q9~CU0&EmnAxAWVx1^`3rPK|<1I=W9T z=!q_N4mJS!&kVwM|7Hiy{nzhA@4Vg+RTHQekx7y6(ns7BvQ?1^w7q3VvXb!=yQtN` zHODJ$QBhGxyDU+w6__<^1_*>^tA&<48M`8%wT)ML;<6^AvXQ3&&J+p-FvQ>grf2ro^E{HH| z_6!t7fo7|TX3O99OR@#gZ9%OS?y`_ptLfU;bi09c1ax~$irTd4E;-U&t9dCwg^xrn zmE3l8Q>_4j+(iU(a2)`ub-8{3m^E_-fC8;n3lr@YxLrDjV!Mq}(GBvKfRybhe+%*v zf^NK(TzbcILN|c6(!?pBQGYn6hNZhK$=@RE>GtCwrQ12S$=~X#>8|_8HpVHl zXQEbfvV6i{c2N{HcXndC^fwt7prVFmtBJDJ4inrtkp203-oeWLq7;#b#E$L~asFz$ zIneDtPeym!IqPc#kavnsMt9lvIEXx})t%#-OU#-z16}nxTJ1KPtpaULcdK;syQqO` zwQwPm&@H!HPepgpf$lBawqVawvX$1`Mt*y%JI%E8vLjj6Y8{QZ&I-1Y=xl!Z)?{ws z9ADsy&eiF7uE|mz4gl-VUyp$^`q6*JKp2OGf7w%(CHk4M`t7SxGVs9n{u93PtwA(K z8_I^Zap1azD69N4k#7|K6Ta^sZp0VA9`C;H9^eVv->AD{YXz0z=#ZeNBRm1mqqv!B;bi&){a62^=R{`>8#HM(^JZrL7 zqwrptwCqiX@aR9z_VZg0-NZ)6$+0FQ#7lOL%bLvVZ!o*@>|d^F33IgR=x?W0^dbCN z5@Iw@Bogd|LzrlAZ&(V7A=a*}1I`Jp9D(viOBNXVmugoDfCTA-{b7VGpphChE?+Gy zBiXbcMVK-IUQIs}$prvt1U24m_0}dzf331|Zv^x(kg?7(HaQB8^c*pX4w3%Uf+6LM z1*ujm@Knv|H)Qrt=eA`FvQPEGT(9kHI|zn=7=pq-ZBR;|3zF?>z8?SGY|GGeCG%UK zrN@R28#1q-G2)h7cXmw-?-vOqWo3Sc^SLP2IThaWW=H6S@Im1%3P9r)|J+l##DhU0 zVn^Nv>+{46_Q^Oy!hfXs0mE~Hx2~UsFUoM#c&jl|ISASF{po*r8qYuf0uGOjq19}o zC?vW(-Op(86X18y82RO@a4H= zv`a^KnW4KN@;7R>{pAE6BNAP~XtgGA;J^Vq`;%vJ=+GD@CMEy|y6QF5Yi>NZnk_UZ z#?e(2m_2J&q`UNVkB_6>o{DZt=tgI{xjX{8#i3*AZa3Q~TaNDF5U03>rffHHU}VJ8 zeHatt6X25FZKU;@tE1IIb7Bm2BAhaN7P`9XAiw3fInl)U_&D0FrjN?<&SXt@5$Og+ z-5Os~b)dV<&<*Dp2y~ZrSuJh1TmIJEly2WLAPv~D%*JW;6WTbcn~kWbO_^P6DZrI$GeVN%{lcZ#>Y^UC4O%9 zDVQ;%3$>bytZubD-A(VrJ_5Q2w{5}TJzI_36Pr=n3L=;E+z_RaT6|)cXrtg?%9>2y zT+=r#Piz}r*v-3M=k7gcy|)9MS?d+ zc4VCWwq=bs6yEktj>eJ{(m?F09=o=OsTvW76cI;N3g77n?S0 zGTjtjaMG=Jylpj>EbK>$H}vO3;pgy{x68>uwgnCU)MU_#hurqBYd?1l+U?RG8qe5x z!xiD|BTDdQ5H31@JtW+Oef9gE-is~w45Hdl#JgusuiI{_j31%`U%6)s_CCE=^*8o8 z;;nzKa74+1xD`&y=|ieRyU=elZMWUq{>kQdG`?icxbt}k!v`9;;`+-mxNVD(-_G%) z>GtQ40p4liqwx|<%T~)p?$in=<0!JH-R4+Vy^a~PW}#NA2j^h3h9_JLsxz#D1=_XA#b*8&4OCL2A z>6UW=+1FL8)i86`EY#{{;38}fkB?!Z+4S3PowF;@U9W>Azo)xM=_c3y z@WBQyzy5M1*AttQ6PwN0E-F#{6P|^UMam|KjEO@7$8Sv*bDx+sS^pUW`0CC75d#Yc zV*ax2WIEvHsjpj(iIK>!-tInZ+^`8f{?aI`g($QeY;;FtG!!$5h|oAN?6zNfAeb3T z7xiQ5TlxbZb#bA)f8i2r{@q){IF~-`czB1WtWqeNC}LYHr-jB_x99Y?zETdsMi=|f z51I~myMIe{R#}m7PbdA0-eDml?nJH0mcH@TD7;rH^_|wlvNs+^Vo(RnPKq@d;=Gym znoRwoZDb^Q$P01_77YEvv8Q@|MKYZfCr9vbz-w95T}L)H7-gi zGFky4N0T62BLc{pujjM5~~6QF-xbEAkxVM6n}L ztSt1ns2$m&VYx2t*eEFX_PGr8yMs-2OKgmWlry9lR3hzTLXPT^^voD-Nix`kzGh^h zSwzJxf~KwnZwhV0Z(Gr$6^h@}#T&}GqDM`2EP)ZXBPx9Kr6JX@@mTBd=rDHtmmOIB z_SLA>YT&X&tJOyD+#X!~-gQ{@wqM2IHwSUWhD~Tmw3BDa;I=Jz?CD1#+cH}T-p+yN zm8U-oZ)9{B4?KK7E;{dGPp_ksh|x2*H}J8FHzS22#hcPXma!FHn-r`LpN|=1V}!Fj_1khHo8t|51(l%>rJpk+ z2ZykA+ZJs9-VQ8T*pGf6@fo&a`t5NMOj$fBV^M8qAOJG3 zBSta_H;S6OU$QJqb?K*@5rt9$BA?6vF+t?lG=bWr;7ak;UeS*ev zbJfWbMy=lEqT(dFiTxO0YaJQ9J?#LzQ^n#PHsKR>z&rLw@D^v7*fs7kS-cU)DS0f& zN5ztN8V9}X$&S6!lH=xepBB|2*qV%$NbU1$g0rKhqT9#cNS3fReFw+g%EwYTKG?o(P{u&8J*G0O2?q zWuwc8?u@sq&FFAct27-)-lXgv}XhEhn{VC_bOLAnWn>ro+nRwFqU=RZF6@yH8 z35-?-0oY7_@w&=yl|$AOVUQCK5s=81a5;boCq#i|ug_)!i~N^8IR#3y$cSxs5-&g7 zNyBLp{p?d5l|nRVMYYKR$^}YeFloH2!bThG2(y%xK|??|bIjIO24j+Gb@5ma>AW2h%F z(w3o}opy1-h*4YVc^u*lOz{?u$@za8Z^rcTR^lnjI9Y^rz#IOww@_CO@4(N}D~{>m z?P^c)W@9Wxg4n!?C0$0>pT#>G89w%XHMJ zo`wMuF%_NQ&RF$GU*aqQkA!KGDwxxNZD_4UZL1N za*Mb&dKrYqL7;bztwqDFNvEhAq40!QHa?zQggMPlNs9R!~sIo-RRucg;X9BZkFzU7FoQ^!O zQXz}CoKY!wdn~NYteWhEH#15qf-KQ^o10NJPko22&7AU4FG=KEXT05cmyu$Nx6_$< zybn=gw{fP_HwJf+5?%JG7AsE|A=+b%s+ri1UjC{1&5_Sh)woYu!Ihq) z2M!M5raNxHs<*Aiy7SkA3fBs&lH%9`IfMMhJ2xx5ixBB0>530+g52m>s}(3}1$KPz zUhI2nFF=HSKiGqfpS>K5-`tPhxxE;?XRG0h8Fqrr6nGOyS)XBQtT-K)iC~nu-)7*Y z!!^8=vm=dTz}0=|Pz_^E*QZ(mbamBm>z{1}s1B`eqpW81&FjI(F8*DNPav!<>$L*+ z?%a;={>@_m)vS`MFh$d$Xp??9WgWk>Dwiq*U0&x2B>M6;)GAcNQn$$AQuRd~CjJ~M z+qTTb_%$xHJJ2*4A1Zu8x_1NB)Iou!Nee`Vn=33ViZaFEt-GidceY+BRN^N;txZ8^ zq($Z@5ruQyro>x6n+$KG1dbMODBLo5Bi2>vTbgow(2Lb{n|FE!mWu3~(kN0wb$kq% zm?*$pp{$|7|N?c#-g- z3)Xw6UAZ^D{wzHBUmwGycuz6KqkLlw9T>)SpT7y8`RyxE15mHkP}G2Cv+2oDk%8>2 zDe`rczUw<4z8{0%++t;V=tvwFsg15}J8cW;7X&5ya{x)%=t&-iUxWLqt&Q%7CsG^A z@{R-siv29WXhYUJ@r4d0Wsm2YY|Ys(Oab1j>E%v+r!}$kjfb%Nho^uuc$5G5iml0D z?ZM-_CZk~E1)By4P`zx1Z{D|%>%lOwW?eBt7K>!u*Am)Oc zzAgNp*bzT_9MMi9_g&-dpHu`*sx-nnG^b4v$53@-Cb0;dx>KrEvZ^652`hD{&kAJ- zg*WRY^UjJL=~DtG<@%4V|9Z`mIM*b-PGgU$gIP zseca!hvz*-C1DMa$ioWW5I)2owT&mY_huxKRX(igiY<~VXYmduU#Lx+bQW`tbCLms zo53{Rv7Laoyu}q|NV$s*kd)T6F@{GQ*m}~za~v~g&cfz9t`B38h%qucgugn_NJbHG;d$$E(Ru4I zGCG8!AdDOw!Ja4gVDO&14Bo=ObpE-=N)V<=CWkmI42LobEmxQM?iM{u7xxGApxthx zIq@Rq_w-`_$Z%-F8q2_ymu`wTkHYE4AMJkzSO4)1YEf40S6_E8F1cVG-u$OP!0+N6&0iSBo5yYP9V*OH)>?{ zy8s(Vrr36{xj6olPJFZ2M3SO$Xom^s^G`N*giCQU3AK@qq}ggC(yk(28&~K=hwemm zev3U;6|tT0#^iWs=Y+gi^}idu$r&W_%;0S!A#HzDk|^55ahMVGmcHDxP>-GfbcA?& zYa)>qS-eeG(utS!)6_Q&yrqnNaP)ZV`IS17OkcdN>xeh2?sFb*(;wAlMF0TiYD&$X zbgt)8z%hq6XY%Ef<5A=p>++M}9W-HZW1iEI-aCWKJ&vN04=xb@OAYkJSAID=)?}0e-tzE*V z*@_sN3}aO;_5bH)gIvi``oO}8u=EIDl3E`dnvEls};hN8F&PuMe=d8xk#r*(Vd34?9ZiHE#lkL}r53I+BK5(%M zf*?X)_k1vycz4gac=xZq6Sx1zyKv{%w>qOphbDT35@d>r2yy>0^Y zH7m3#4o)7fOgpVEQ;`oD$R+VxZZ;|6s z9!JNfp7Ufr2A)d!vYZ5O>1hYNt#C6x$T?URZzIW+ZWX*WJ)r|Ok)kQIuR7APPe~=r z&cM+X3167a(;tmDvr;SxjS@A7s6PxZq`c2-@D6ipD!eC~-+G2qWyl*R zeM-E>JMF8mBhZtKQw>*vSw^j5t;F2fTB1=A7u#G1lWIkwx0^r`nwk-pdvbxJy=~suH;UYg;VM z9n#Q9149QI=UA*O%QN~Av#$pgdeq_HK zYceNZ^vpT&YqHQH!y0@{e)=9gXvegjHY81t)-hJ11rMw2r6+O>EE#4iRoK>qC}0@= zWxN(790x&&E;1$ke??xfJ2buU=S*lp6WghV={munK}=yid6PKg$}&3ZnoVHB|BX+$ zzljN8=s*`*E#SbjT{!q`7l?p4zu3fE-gpRIbzsH}V5a|@(dGW_>WYrN5S@pUQ;-l~ z-sp6~8-VeeTfCFAfh0dgA(Kx0m@hNQMQF)*^lv)j_SPgnY`>)cvVhAu*it6gNUSKZ zq>PMrku982peAqZT>@a8~Nq{?pRRd~bVEgT`0FBh}x?81nf!^7v}%-Mbw<>5bGnxWAE03ZNKL_t)i zct@QFQveokXBa~9CuWZJuZ&4Vg{s=G&;*JCmg3vf;n4;Lw+&+Z_jX{_+g9PC^Va)= zzX_ZFY_s3u&EO2)n>K86G%1?*KD`%vf3O!&cn9pgE*S7Pys=-D#KY3b9lp+t?$hSs z)=&R2?)v6k*z(Q0%or)e8c=7(RP>vCsYGn$4N_(X8ZNZs65U35zQ2|Gg_6eH?VUh0vl@ss*O zJNmQqL1Thx;$8U?A6erep@R&W*}`GfPL-c3O;VIRmL{F2gF-e=D%Xg4V=V0zg~dD6 z$&rv8-kdTcrAL+5DPT-M4Qkw^yp$@F`I=T3@YH?em|wb9s?6fNGD9qBKkL{@>d^Q| zx1yqrUo>t;UZ9dFeRGtK&B^di=A6+|0dE3H7`xkh=dW|+Kob})%3-?3p3tkJ)1o4c zjG-DigS8{x8K$It(DPU0t$A8;X4B*{G<{`ITi@3;PLV=!D8=226qmL*MT)z-I|&x7 zxVyW1aSQHH++BjZyS(}R-+5+kX7VMIo13%GS$nOu_ZE67`YU7Vfef~_=L8;iSn(ZS z|6bJwMgV`sx}5wXKJaZi{E<>+7iAp z@SMz1UeNDvb(=astD$^^1a>_Qxh;D!I9j*^%lo?3@h+~A_xWGlMg9OM@UG<*c=w2O zCse6V+v;{QYxYRPwWU$sID$mHHnM1yk>(N(SHIn7ar_jsc<{yc#-HedJN-t{L#l)M zr}Vq-?B|5YsKe^+K#5<;FT#&$Cnn%THG!iW`Q}EO!bR1dTs((YJdsyC!2Nb?ZUxn3EN_Lm(_*C0W?v zTcJ)okb^e$fs!zdWxs?t8)jg@k2@2iDl=-Soyp;-f@kx#(~BKuGu1q+n<`q+pG@c~ zWFYMQlrMt=Ek%epHkg=+Zs|?KlRJw0Q2|=9`jM~Wk)h!BPPv|yt0Z{-J(ok-o|p46-YRwbt}d( zATOzU@iao6y(pNH{!KD?U{gCc3(gBMR4R%YpD7ILSLGxOSu%U&PefpEGqZR6cFuKq zI@$-7<;o+ot_gd`vp>Y)iRc*KSG(WVmA7UsWsl#!4ti^npqWiycC{Jzhe@n0)O+$( zI6pFF4kturv3owpWxfBN@|qbCkUr(Ok{{niv8B0z#RK0*bLnttE26!oY^>q1MqNd! zqujg_eJ1kLkanuG)dye(VJxorGcn}%Is=K9_B){&ZMQz?9`v#cI7f!bcuK>rGt<)6 zi1=O8xzqA6(=aCC)<{DVp}#Bppu-qXWwB}7Q5MO%VpRxlGa&Yd)jl8R@fU2*XTe#DUVflV!zCW&O2^rB@Fz$Tq8?f?K5(NxS{HJu`=DyKH{5%fv49;()6DWS2+0?sCoT&CSF)};eQ%`;m{MX7jHcAM?`aYd)$Y-Tu)$5G}^8N zt=P4FY|8>7L0>Fqug84NCp~0aAFtCNT9&#P-s~mRUG|2F;Wl@0D8J7Y!ENG_Zp(Qf zmO%u}^$QqFN}^~*fE*J1RI69}9Zxk!cs^LTzzk_Mu3gJIdzftkstU%thn;-Q;pu`` ztCrbZQsL4%F52{s+CXvw28-j!*mgyY&n|OxfrO&S3;wy6RWc}R9sMhOIa2)gCf)@46yAciQFJI-Z=r0yW@g`12T<(ZNxKM6P(nhjq%z|T)Q4~uIG1lY~3 zsJHP88K)4X+pYd*?&T5rxK1hn_a8ienjrju4+42S+h5=YGW_ruSNV^FEqB3c7xs5uWf<>S*91`n%IaP7wWy;7POR{+c$_Kb5L6 zxrY4d;@QWeZkWoIS-W2B+b*ddU}aA>pk}Nv{0TCa*TIT(@j7_dY%3#-onzp;#1d6? z7bU1o)Bm=AfJId_v-uGVgGl(Gg;9~R6rTJRTXCFLS~6`U$DwkQrAHn-nqh69225|f z)pYm(RABUIs44!K9+q6O9Be=)pIFeLlYsN-QvS!71ft_yP9jJ;7|ury{;cWeddLd@ ze3b@X?b`F^9pk#ziFzUc={!MgE?XX`est2sHb>{jCb&)2tv!Z$?YSIW@M6LsDM_R7 zfEiIM_!%s~m+S8|b)C+F6e@KvbSf_rGMw1E;t!V}Qd|*VHqkR_23bTIuNnqsLA$&J z@toKz7s`)!Mm?$^RsRZzlRC+@afe)R5*bOmQ{-p6L!&aVhvnRi@z@3 z_N_;ma*;t>JH>&iO|BIrmv`#$1HHI_#h4nexFkfiRC%bWm8+BG9h1~+lXvj~c>~e9 zrrF}ex=UVA->(y~?vd$N8P*u>@?vWkQB4kXKPvtBOy>Qq-3)-COs!~ z!o@|E@{}F?`^9^vzt7VpgT`M2Sp3YX$lcLo$f~{&nsM+O(FECpwc!1UXwFr(?$=1n z60AYJS8Cm0Ht!ijW^ah$$)mu#rFKQu8c3ARp++&th zm*0v`uVZPELa8U`$-06%(?xMZKy37nW2@T7FS0_7$+f|)LsIqh6(;3{^@mO07$FT6 zB|(Q4lQ`)v2zuotROcAcuqh32Xz$UPk~;g3``OXZJ47~LSI9o^$wu!W9mN+3zV^8# z2Z4Ip*;9lBvf38r5Oa!HJ9$y!a*E}U%Qi_=qX)DlOQole|WmF1j>ZYD1Tn^H^y86(Svx$X0{);HxZ(>dE_%vz6kjw%d^;d819DSe$1rX(k#!OXXw zX$h~$Wj>#-2F~>&IibVdN0&tHp8&hp6y1gb#0aIK$z)4zCYX?arf`ic^n$PVDintv zwIBCFem}OmlD;%EtXo;@>)W$+08i!4(3zl3TJmU`?;6wd5@r?SQ!Re~N{(~M2eKH2Gzuu_oo^PmtKaDO?1%!pV? z8ksLaMcHvu0qwk?gGwrCE~6VT4L8%JQ%Kb>z>=P5Y9#o(K`;~t+)F5zp;Haj8@Xyq?1ga6~Phtt=aQu8Q9BwE^9d~y`q~%aOW35BmOa8+-NPn zde}q3db^7gW_{Lg6DOh1UEO0p^NT6X@l~&J*RNn-`?HjQ(|1!l`X;YOC!OoyWTVHc z-N&#E7bxbO41b5(N8TfnL01b8htX97Cz@R+sq8sOxAE2_pm+U=foUF+o+`2|?5y@U zYmV>HYbkn---zPa219f}>s1mBBFgTgX@+VherILv$Ta<^3Dm@`~#40@LbKhl2z#^Ez-`2J#R$6e6( z5&G}_zTQbsfR6w{_9H~U$LF0)7kJ0F`ACrQm0xf#Be>EHe0gUgW9qQ52VKjDo7t-Q zyneHGs6W7VdCx4Mag2mh5PN3?6AVT4i$+WcOKGKVMAxF3V0F)JqTDNgA(cuc(@&9G z=f-d48^&Z1w3QMM=Tnm=H>U!TaSQ)9{FNDJFn>rM?5C#p*!O5Rj36E+q{@)Uz1$0d z1+^!k?T@{5)+&Tk+$ig3)haH6?};G|7{b{ zfO4-6Af6}qxdmrE=X@q?FX!GDpmd%(i{s-n@p9%&X{Bb+$raBOMkJTR;F`9>M!@}Z zM>9X+xS?h)Q)Hv`G6#t~q6P9T(I7FhFmi|G)}aq&Oz5ABOo<+iXYFMmtBG6_6}*U- znuJ&sOeOiOkvi*q?b8kM$s~i8A;QSr$*WD3RVTC zk2H@sU~igaF9RX`n{fbSa^n0OlbT_vSng4WX@0v)?^O$brvN0P6?T3G8>jc91Jnyeu4)Kt75mdMJ+g47D;E!Asz_0Vd zft9n&FKGh+|Dg0^sVrwH&UzUL6+$*=LP=4avhmjR0f1*eGcjz!PZRxd{eXT#7ck^P z@Y$XcJrcc_&od9h=Qs}bzq`Bw4vy@;v^7tf13(p9l2?U!y;u+4DnNjhjK}bFgFJU@ z?GkS(!%Q-vM$XFAT|@KH;=4#ycVW=WXF=gq+4}IYy&x=g4h3tuBugF=&?OP%BPS-L zF8dwP>+!gH_~xXKn#7xD%ISDpG}wX|qckdg3A>BeV1J zE-v{MPcu~Wk#tVB!=d5p1-5j)m_{_n4~BzCShby33h`>HRh}NcIse_A@FA^!Zn^Z0 ze`X8xQMgHyZ913TPIi>TrxmML=T8-%k-N=z{fiK5F#fStSx3Yfy@WKcoUYruXH*fxhn)D$o^X{-g&-c@V4grPE3u$q9a}a= zRV;e9iB7iBp{R2DofCTmH6$WAGcsxyWs6b%B8r9}x>j_*IWB-}0S`qHoczMj6Trv< zxxFwp{xS3J*Fx6hFJDjVlnH66U$j>tyPh^H9expy0$Y`lB_U1RbezC_+|b+~5BQh! zru=fnzOaK*Cc5TY94{mMY?|O)Q**BIkLwBl;+faW-V+Zj06}^atLu}$&sX&-1kQij zw3~n0gRykuc-_{2CQo9~3v$!UTeI^FPV&qLzqJ!UcsB0SfhWk%7n#9ly@0nT5R|Xx z?C=;>7aD*`rt#RjVdUgKLbNQg&k`VJo!lgH+!!ZOyD$7ntCBA(OPNR^huwaRr}|kD z>kqu~4+XNL+s`F(7VfRdte?BQF@=0lQKUT)H`(ePg8Ed+o~f%IArq(;P*OEOqs=MN z2S?90M2E}ZOH36ku>@XcylwTgPh`G_O6czy7nr5%Q^KwncOi|ZBv1~_2gES{i^|R? z`IBIfHTLp6uLjr_v_`5a%U4L`C>Zmehrh0tW}Wo=-wFdG-HaT>W@y`1-@^aHC_alL zn&zB5?~0<_Nr!v8Myo~Wd0aeNTh?Mg?$(xuaa4{?-jSqnxap8NQW#wI@u6tDo}^}y z1uXq9y4_@!|6v2mEj){Q`2k$}!#|%5TIFU=54S6q$M|sssC6Y`f52EF{8mIJh%hTR zwIu(!0Ve&A2i6a4gZh0To|A+`Y7jpdBPbKFJju;9{UOSeW(e=?=(U3qkEX2pjZ<{~ z-}@gtn+v79=^S7AP*x$TjP;0;G6!{kC_sm^7`Y8 zMh__$Wi8TB!3mY})a=8s)A!iTQ!=xQ`Px8K^NnTCFrBP(!Fm#FwJJ9?;x@m>Gw1Ps z5`ewx>0CYl+#O&5DLghQfp+Syu zzQ^{~^+b*x*CYt@;~DBq#aZanz<4=7uscAmXnr@0n0|>2JJw*$d0`6s!i<8!^;btJ-y8Uu|xAw z#4K+!G(F&+6^zx>lcOi~(IK!XT3-m+Gdm3h3F4Po_xB`{`!goYTVd63pNjE2MF|m& zel)ZsP1bx{bsRUR&wy)K3uQai+$~s_KMx`21ra`%$ifl-dia{lf5L0;Xr?}y^3!&D z8Y5nH*OwHongwH8RC_p$$EcD1-32A@M%z%>-gs3-%i#{`P$!D4;N&X~j=+$)R1m{!k{(W|)V58(e>b#MXK;79gd%uEPK=|bxz|W_3}b@LtbXR z$?_xbE8!kcN?~^l+(LKbIool+wQ`n253T#7#e{-|F zo{71+o_T~F&E>?BsWE33Uz;W&L}}vs{fI(+ls1;vTCJjohD;+kj~?npP^@0Kc=e8k z%E`F}f;~yPHJW|2lfd*hIV;`JlPLtc8;0-}D?w*}KyX1OT8kT_vno+FzX0camNV;m zyOU~0JbF`zTt@%s_{m^mXFFD{X=JM7>Aqc`cdr2dO(K7ZxSa7r^y?Tz*YF$XawRP) zT&tOj-yqHOyyV2eWp_xi8%> z^2F#I+?)&XCs>UIIPr}rrXQg68n_V z*et1~2F&3~Lk#w7oS4M~cy;L{`F`!#1Y1Ry3Ax^}r*D+G=Kst_@6FeYpVPDQ_fkQ@ z(!-J@twSq|y*@X2J_!%@CD23Qeh*%NWP`qBYRp7}(;3H}T4tv1tE+ncDX;qmykB%X zDEwB0DQQU-rQ`R0N#k3*o|TQJGd#!PthvgBECwo997=rv<@!il*J>!tnF(m^XBI%t z)KEMcC||MXM;}#5`3z04Xg$-)WZA%<%2=23W2Rw0mAQ&_ZxNRJq069tK~Obs+F;72 z))L^o@LIHE_e9j=H18js-n-fz9or@F%<^C%eLpYWoHV2>8KBLes#&^HH@mLEoQK3t z^N2hpm-N_7W0^i}=#UPjga-^!x0r}aLY7Elp1x?~bjLamN#SKS&V}VAw>{5^GpANEFX_7fU#oHqH5HAS@Y}uQg1RtrTTE z{!&u+pdBwZ#ll^3#^5+FfeD_NRxhMk(JMsn|E5`}7F+V=A@_2epVftgQKo@>priO6 zS_OD{@{N)}bMAje%%Nfs5;%~^ZpItCvV9n z2(HJz>!5AWk_QpgbhU*6L(seSzP_>+&cwE|Gtogct{@U>3y z{a5sDMNL@Qg}H-jYI-f2R)_)%FB>xp{Ar7+Zdeor{hKJ&(?-$|kn98g@YIw8MRzCX zf{d|cTy3q2`Ffsyy|5o;$e#wGht$(?tkE0ZKPO-auGtj}r<^_QKN0#3E5T3@z0Z&| z<=Uf+5rKQJbzJdU#NOU(g&N^E5-ISg)CzbQpOg~GKHF{fs(bg`*t|>i^4Bok^w&RM zwui#ow*vFwNo|56EjbSbV$`-63gycK#&!9Wh=r_R7BoM819A{&{#zL5=2xkn{aYTQ zUNgnup6BeC6jwTLf~F^cWo_uYMR|4RW8oIm2uUXthMPDKvu@bljSI$TlL8AVWE*_} zChPGJF99S|d2e0SjlEVIHmq7ox^UVn?>2Oy2Xa;h(R@E85`G4MmgdJooDUDl zHMF7$kbm*zSyZxtSTB+<>W4*ieXpZdam$u6Kh~wAfI%7+Oo_Rrbw7Uk?xx#u7L~x1 zQ}oO!7#b9Waef=Prafhf6XUU{euuJjomuVIrndLfzu5Yr{4@;AMCWXvE#?gpT^9bA z)5hbK1h*L!X%Z+KqnmxOpnEnp z3K^PMq99f^O!E3*=N|u)N$Gezv@R=^F>1B!L&Ng=__%XiJP1$c8&HIr1FkF^R>#9WGp%O@E<|r^sIYemShSW?p#8;t8 zCa^7se-0Im?OLxT93HaE8zla~ix8mztDy#0Uh65PPgn!#ED=1~^r`H?3G%y_O?G>+ zhN%n-qr9aau{!9uFqvxv##J>%fMZY|O$pyX^4q3bFQVB%JEmRq{y|FMuf$QMN&x}7 ztnB#kn=Jk%ckf*?-RMgc$tLUKt~V2`nT0ioeNANkW!j1zTS*Pb5m z(dc~hKV>Wm9;zb{IlKOCDm_vQ43-f&PMI&OKQiFMQ)EQX+B8nuG~h6lKX+llyH{KU zfy~0n-d6lFx5UlC(Nf52lt#@@+G-{H)CSD7N1l6b#Q7p1hXcP3 zNR~T>L)qpFE#9nj3l%lSv1lAM0m14d5!!RJPg&(JS(RD{i8iLi@nZ}$67)FqyvU;? z=kgFad5oxC%-<4!PGpJWpd+EWvftt|h649wnux1kDERH#sgPA7`?Uq%CM$gi%LLpW z!@49)^A@IMVAi89P3YdI#{csIup^ZHWUQW?xl1UM*AM$slhvQjvd$1h@|2$3KiNai zHUKBh2lmU2H3aYH6Kr<`yKP2QV{TKagMPaH7(T3kd`;Z{$-@Cuc8hA;o|)Xz?D##h z4sPEUdDPsfVbBCDUM0LRRhqh$Q=6Yt?2L%f&~R(ZsM`!jE1DM9T8SKxiQ8wmrMj0m z$v4vCfMDQsJy;J%Q(he6>Mm}k)PlXJ*Vo=lc}$Y8_w=J~(4cl(c}+h@vfndSo|5Y* zg5@1e0v%p6wLWi_m1PLWzV{RV($Ka3Nb1_jQI^%)hl4T;7FJU4+8PCd7HsA@%&I zl>dHEx!FBWsg8Nb9jB6VDR$K9SfM_8Olo?hsv0Efgp7BflpfR>IIX`$1Ht%LQq45}BJ*s4yLCdMb{t@=3+`Hq0D#?) z_M_UaggLlZKqX!vQR?aU4&(Rb*P^FkWeCZ0*8GQFO&B6@IYThO*oBZl_wpDj()y-O zSv9Z=v-?SsZJ1su{qP0!Vfl93+jY;|2K-k^czLX@6VmP3;jh(>cI!c)(}w^*a$p7% z2`}3GF0w&pH7D_&T-8RTQ!BDK^U7XC6i6_HO%g|h$UH=0^*plew{5N}|K-a9=uAR# z@ZiJQ%aa;FK3f5*<3iXvA5^`zmeVgQ*}M>;N-nvRxl#LmoKN_GAJ%MGnpM;!PZKfm zdS}(L-cz7T_RhV347#6H8FSDabK($%*&rZO@v=L7Wf1{yGY^T;s$}j6dVQrDNAED% zGQ@X(syjMQV1h{_UC;ffGWcs*E$}@RB-=Q&PaVGRx`kQtahmQQ@>&*uc+i|FdlBC^ zHd=UZ4lp|j*l)nkkc_WRNNic`kWVwcH0b_uCZweP#01E6QeQO8wwGw@MGb^kta1;w zqQS%~S!nxRKKJEMVR9GBdKDoNc$Di)ul$Ew-3jw(zz1P_N(iL3f49GO<@2!N0Blm zGyitw2t{|*GzHCsDe6H}Gm0*TJws2f1u(03<(-p@Bf|P^M`HD@fraq1<-=}CS~$a9 z{N-#k3kOg#PC3=?Hl{}aeHh`qK=)(7abvAK9`0dhE4Xn=;Iv@qv@6t!X^-It=wsEc zcEhX+H-C4PIe%q$Ip^I&#qji29BKQ56CEqWww@o`-Uj{QMO{C7U+)0b{r2+{usF3i zBkIxIiwFlKRK=ElVv_mgd{&^Eq#ym$s(2j2d5LNn5K5C3fxP?YO>CUQ>195b)_XH+ ze7Q{p{;){hBY|gRGt=-?eC_uCl1i9imbJp*dBWq`WoE&S0oRLOh*@q=X(ZJVsIRP? z`6zLpNiB^eqfL3K)nzTO?f7$9ufvP)KOWjV%N+^k@AI(oW#_#}uqLGIU+P)dF_G?N z1~~2$YYVVYDU7EV<&i^Cb~p}hUT#~GI3A0fO8yVu2I zS8jv|E;jr9OSa`DNw!47=HaY7NM%GeiEF*%4Z*%0$X*1s^$(_%D}_@+V8R#CJQ|Bi`58~`ySxROARQy_uB^JZ0@*G-O)lofx~_*3UOOX9 zFua39_Mj&KH2GJ9h!RxXH^W|-@2r9D@%O(FC*KqB*%S&pW{Jpe zV^|@syqs2ux#eo2sbbneKXHq`+hC+|i|D_m<1NzDE|8i!7DVQ)g zLLw5_^(PnJE_|hG@V=Gh??9gVZs=dLUB=(7i*t+LzfyVaQ+Suka!9;FpkEZ24Nc@h z4sAqn#Ovqdw?fad0EwNqSS+vi8p%v5!OV>^JA#^4)KUEVfFBkST&vAM3uL#$bXM1F z&cCd>^$7oy)L4!rEA@J-2JbfS+K_8}3bqCmkw~}Hf3U`JYp^pnT`?fhw@+c&3!ULS zJ?-7*R2LJ#7+RQofZ19Pb~6BYWa$;-Mto4;Z}ZB8rlfy;nqlEC4wR#p!VzUP?{k?m z;aker1M`a5)_!}Ropsmt!z%)?454L$ZKNy44>9BCP%R>NVZuJw8A`x8ZiqrvqsM;v zq9g6LUFZmOZUrbX0$cZ&#25sev`gd+T2(r&vvOZyTKN zPD#^pP7pX=-4)-saH$@W`L4591iarr}RDTmG)i*T-i_ z^|@O}9XIdmf$J@6z;ksS?fazjgAiG}}SoD1*k)W6KH`hU>a0qHxBTb+;*4cP0x zqM%1c^gZ!`pp5#r`7DT?f(g=pfMd1IS)dB+f&-PeG1r)3b4)5UTcAx5r;C6bgB$%m? zJ@4HxE-8Q$sRoN~sIndJ97$=3C_{)mcCtJC3> z-{Dtl&pjP6u-9DrKuJhN0Ipo9lXZA zU^E;PD+i7%G*pe+?ZO>^M`mwi$5px%vLNayTMy&R=_KxTAGxy_d*xx^R?QcKpT)kHeHg&d<0HE4|+vJ{gR9NV>F-D8}8hg#F1e^5N&ic&0i%4nc%LX#x3kMg*_y8uu3$?1>E z+n@bRFV!U##~@Qwr2b-%`YFIhX81eRjHoin4Ou^CQazUs<;+Yr4*2;+19Z<<4udqV zH5;7;U2Zp_?TgTMaqn;yWu1{vGWm~A!=LRR!C^iv2h9fY9xXewc{(xX?pZ;V1&tXu z{cg2uF>~8-GsuW}o<;tOt7oDBc0C^xx1pG+sYqyr4OrJC=G*^QGEMGUCwCt?la@FV$0p*TIZvpR_sKkiFq_10DL zlTxsMpCmNN`yJQ~v+?pVc>gr%DPZ8$Uwlve?md4Lun?k`Q_h?&p4QKAgL z^t3gDwKL4I&GbsHnWvX;ag<&TQ#6vR(g;fp3s&$t_7JkPRit-iRA`&LN3>Ju_47VO zx~M?h^QGkX0WQR?i0D7qD2BDK&{Jv|)-wb@1_h4e?&u}xOJp6s)!Jef9R5XR98v2g zPt?9_BY|Z^-p}-JwZ{Xi-k)SlVu)6roCtCxdXf%G*{!g_{k<<0(zv~wo7~UTd9gm8 z5~xN7csu`^ZY}qMO7Q_JjVcz{VDTk=_e0v7an~s9uU%pWtd3*Zt07h+sh@q9^osEw zOy=W@7dZRh%<#pf+kfwRiDBUVc_syu5ku=hR-7Pp-VgA{H8z(pRj;cb7tg7Bd0%2h z?tP8L)Gb6_Glr0qCT@1jC2mbWQ?W7+VXzuCyo>*#*he;`Kf6jivI$cJy@AIf+O05f3 zI+4(A{L)_7iGndyhYT8`RM0&%*zK?DBmYzD^Q1sE&vfQ5kToS%O9-CED>iHI4M&Ly z8i3V%uc@l5O|7HXwn@ze>w^kYf}rsYbhkoN--w9T^A3z=9aDb_w6-76p7`>8D>ITt zGIPZYX*q%)3yRVVp+#O(Jk`hxpf}pWXIMsGEln8uH81Ad2V9Z6vo@ zY@j(lKs!|hGu4_1sa=UM4f?N4_c$(J3UPsc3#*S8DonTJgM65|>^dc7gHEQ@(U*A# zPjNq_%7|e$ZLOwFb4FD4rVoO2kJ1;yp|YWKYaDM`OlZ4RNQ`Hdzoe0~1oZ%&2LkQS=vV&OQBFo>)2v5v9ZF zM;1$GvBaB_z=TI~M3P+pGM0w!J5szT((l5XgbVCu&5>xF;Z8rZ&qH~+kYq&ITM+m8*^%nQ_q-__ksNQSIZ39gQD z^*qwu|4G@G3|F=}%h1_G3=;v$=%(d&3GiHLxcYWgGC0}A)_D4ixC&6Slxb5Yrp66) zDHn9-vUx284wV-cI7)8hqx#0L1)53|n7k&k35t#VD`Vs9g6n|!IO{TpFkAJ_<8f71 z1u6;A{w%xjv0~*61PB7)-qX$0c^5KQZCLxhyOWoi_Wd2hep(*eQLhL_fwB1Q_WQ$j zLgBA}YIXS`VCE^IV&wli0BS>X8)(Pd%FRq-X%7%iMx9AybPnSZA65zx+2cRDuRBd+ zg_dJssv33MB#lkW{uxn87+9xP-M7V>sp(irb z={DAURU5hJ(Cq;Ye62BAiS)If8tm1KL(?6DY+vUU3eg9(xtZ!|1yPy)_I)aom4J(F zD-Lg0{^PZ}a4lN{gnUXxo#6Txw*(Jc)$wO#np5iPfhKhhrJa9~OWp09K zKI$?pNPT5{Ub40N=%nM1J-_MZKvAS5_jxYCEFO-EHOK>msSoUV<|Q z{+r-8ZbjEvdF%b52i@H~Wc8|^G<|pE|IW{!Q*!RGAyCcI0V6!eTIIDn_J`jY6vGLR zs9p+rkrNQ9O1vfd=@GEwH5J2~9UIW{qg*(RzO!i8BdF^&qQI0AWIOF;hZ}Kei+gLe zTA;k$9F4uyfQgXd;o5}(70onGFfWFJkv}oHNC9+%^K~tF1X+BRG{qX#BlD!Nt z?Co{XFC;v12SOI@)#(2KP@3}_o##2Jxw-0kxX;4#S!xUdJT+(CJwm02l@B$n87=JT zV}oxA4Gvi4uMp!;Y(YF5pE=_en(gX_qtbyt+6w7vG+J>eR^^iZozrQd$x8lrUVl?k zeW|@X*g-M>);V#+7*R~jI*;!MwI)2W%-gLMXzw@qj^R_=H`-YzJUWhBD)x1gLEvwj zOJsjhms!=s=eMWm+`=5sj-1OG&^lh{9Xj{btshPX&!mnKtS?ieH~eV!@47txMI@UZ zCO3n$xWeH)B_&ri^9vwSJC@pxNs^2`2B3zO$}XH*JLZc^5&d8?L1Bc-U=m%Q$Ium_ z^(uS2QD9K(WV}n1-U67_>ju8b_ZE>01D#c8vpOgmWRTc9fWL_o*RmmCVxTz0BarZ~ zcM=29xp4f(LpF4RLRMC)#@kYLoO5-EJMo(0D1E#k*->Bg+jQfHOuPl66>_F`z)R~{ zCey|3g!k}@gL&uLk2m>j0+82~#(CBJMF)Uo@YSbAvnjF1laW}@i4O-O7AWzi{J|=< zHu|ii*!yNvT?_Q-x&5CV@v_TCY+r_x=0%8{XVJJMV2n7E%3tk{{RYKUE0ij%f+{0k znjaT^CNY{yx;yKFCO$siNZ=IVqJkIgT&y_NT&IjRMevKOqf6+XqC}~{_wh)t@jib- zKBb)u=H?(p{%O9{?#s;hAA3psdTLGxhapWfNIp4IfMoHBuEvnbc+eGDdrWN{`+U$Z zrfnw-v8)F~Y!w_16Z3v6g!`gkJk#(u3OsbF+dEw8tkAlN)|)@vzj$Vqo-T)EnLWlp z80#pV_fq*|gOvSL4b&9_N%#>3>j0aqj1ORN>UU9^_AOd$0Hj|}?s zx!Upv$q5U*!mEI7o+z$RNsh#e0TtkAV=B^u<2g0mg<3GaHpvcU)l!LUM3dZRC6Gec z97V@OyOxRuoUe6Q)5E@%Fct9cuki=b@t}c~OkayB!fNB|1!RZOXSHL3y@4EiMY0ay zJ^_1cHEfr`#a1O@^LZA&ynh<^W_FOH3|KO;;7W5U;oFr>$#R6 z+W5J%2Qd=u=oDckc zny+U_AI8b=qP~6YEDyWaztv0mcWj`&i8p7*%-5t|N$IhYz8cw$&w3EMPXxM;wsYC{ zh6QetSv@QUt8MjMCnJDXUw7r2&$WG`8wH~q-KI^Zd~x3;MVk4CQoN>;9>bZ?nQ3YB zR}_Ruv)_-*z^6Q{gF_Z1KF^tzz?;j=lIZzY478@o2mWO%YZ8Sd=A+Qaj}j8Z5AmJN zLYoTZA1fPx8*OTN%Tsv%@n6uD{@In5NJDh)tMJglux^6FC;mbMYyWhP%N%3G5mMd{ z?(NmU!!R}vBkhY9Jn+5^TM<3??bhPtTb1@2VaRWWF#-PbM#C-b8YdG|&eXU=b?C%z z9yp{A!L|oy72E#T9+z$BE%S2Co9dKW_68<7k_hP^!jCuFl@7d)#pjQi9Kq<1wExHf z1Dp08jzp}y)tDkcBjgSd!9Qd#4j)7ww&Yy^s+UPTti8AAIv9ja+c+@eXH{GN&d(S0 zm;dr>cffi&4xs9LALg_%IL$0W6R_V$pE`yNL3pno+p31 z7^MBXI&ogHZA_*W`8ap>iJA)^_)fM)4y+pNhCb!b=IU*|3IjV20|5aK=RO^*n`>*T z{YeuxZc0XeX&bD1{B%>4H*D9UyDau6_Y=CCS9DY#T^scP>X8$@@lk%Wr$5duwY5Ds zzl_jkRK_`qqnsZW(r3r8SI+dG*XUAtl*H)t)2o-&SpQ}fb>mzNX?D6l;mmG^C&*YJ zKqVGuGLXeTJIb*=k$eAHFt6HA_VzaRfa7kd8o@J#I)xe9ufpI5IHbux(1N{_Qx0!})3abQihN3GNDByNKIG#C#IDQ=l=^G}z=1^?UPwFPr_P2%Zz0w<7 ziY>w}gAkpR4U{&=y3xxoi!daRRMt-zFyjg-@r-Y^x&M+G7>dF4Ke6lH4MaR8AAP+V zB&WmnP3m@ujeW*$Lr~kzck~nRcTVNfxC_b zS2_>8eq=sOj2jTp-~RCW)iGj%C$y=6;46iM_%VAbz9iio`@H$^OlC=j)x?iS6v=Aeq+gcx!DEBeezNC850%>iDTeRjUh9ieNB8Nb1&ll zz2PgtJL+ko&i%{^7u8_OyDak647X0xE>FNPI&C_#Yqpnx>$wFy*?V0BaC1dF7fue8 zVe@b-cb4~u=k9qAy-Zl6znhGp@42nUR*5KRqpI^rtFvd4e1bDbsMe7lRXu6oS4lv- z6uf~+h2O?&FY(KWR;Z$8(Yn*2X^E?_ZM;}gKDB5Wy|2z2X4;{5@ zx}m-P7uWYkVO$%5oZP0fe#hW&x?_{v`(|k7*VOJ?b=_mFI$8u}n#do0Hn8#G%F?#s zDB@iLi5d5op|{5{XeYK2CHj)k4Hn$_dL8|~V#PB6w$*d8iyn+!={(bALTuA{et%hv zX~UH=xC7*`U?+z>un9Y)sypeSe5j4>k`$@`OmM@DWE&cUxc(l@18ngnCFpzSxy1>E zVFq3F8WMD&$q`3HaR1$6y5Hv4!a@sg>M*q2&JerDJeIq7VDF-PdvW_Fkuq25(mLsx zP}`S+%Z&Q?@tVdXrIBs^X(bxs#K7OqMxnnK6BThq^NcsccoS)-kldh>yn{w`FG%3U z?h7k?eJQYpsxI1rf9QyF5#(sDVTSY-)L)zVH|1s)ygOaEc=_-NSqlev-KTdWV%baO z`DK@Vn&4Ktx(iK>uevj$aW}lDJdivZ4hVA*whY^W zNayTKuSMZntI3VGp1NhaM9KX!uQ2+4W9n6Tjk z%e&4|U3!-$MOJP9L#2N~MW62{FRrO;B`lnonLN9ixh%EeMAXvipYrWad!EHOJ0E0+ zvgpAqF}X062=Q#cfPpz@1mAKpv9quNI*+1Gf`hd>*-K=>wAUDg$j9 z6Ibx-{sf+y^K(=iSx6x2V5t_7!lr(sXuB6RwWF0-7Ej5NAdR1X*Xx1a8kbSl?Cgglgw3k>*)OB6i}y2F^$kScdu6bCQ)_`T zG}lb9YxdPC`n|^Q+q7pzlkNAJ5`;Dqo!-f>-{z}j{-H;Z#SdsTh}Wu8@|T`vDx7s8 zi@3qBKMjDu_f2Ylf;dD$h|x*kd|L3MMfmN%co@|BCQazog=>f>+@`YY!OGi2aNXAWonreRjL-e1n&92er{$GT z4`1JG;G>0FaH@qmCq~=ja~AGyHfz=dzQ==Q`Y9*e@$wM=-sb+OZ?IfH1->8ybtjBc z{*g~`;Eus1uI5!5iDqA~R^MHocH@_k8nZ~;N0MJJvjyx2vs;uOctx@91zwhieqnQG zYOK?@V=_1Uxa<@lgBVr(Ct=Xj;aRP|sZDsE-@3$2#j(4^jz$fj{_)rN@okL?&WS;m zrg-|m3L_Xgo6;F_z<_EpkXNZxf=yd-_9JCz=1w8L=3R%Z9Ma_TE(GJHKg3ET2AMew z)IU8IrI}FF2oKx$G1ey`$l8FQ)xxhVst30T&gH>47%H%F+YxOb3@eJ>P z6Q|@eyYLltqd-UuPV=IkchD^0U+Np=GBtMTY4^t%FT~%j3lzp1b3>|%28~#sy!7-L zlU{;d_wNQ@?QsDB?HG;&In9-v82B_VkzSa`?jfh%LNOBU#{Oo>ZIRr5pSd>BT3j>i zF`pzgjyTy2ow{KfUE5}2$*96JhO`}_^HnzN35|2UnVnWz_ymg9N4CjEJoKOb)d^dR z7hCt0dr;PpVx7R7+F!7COB1K?*v$XS8nKyMD@mz$-sK~FE6WLg%B>_m#Yv85&6Yl{fVlR% z-<4gcUsw4p*sI`?2Ml-?9}94dv}e^WbZitv)1i{j`|aBZu3=r63PBkAKdDftGK@Sl za_el96P6a{CDX3dxt`mqq>-Adq-B|qj^xC6;g-b>f%!u{`Xz+3pVRzz;D^Khg>}m~ z?=T)a%SY)JUVjd=l%L5|=c=Pp>_Qh$k!`Z7+$I%>gg8&4-cr`fv-#~#DiTC6cUcF$~!KWXyFF(Jc;ci!7Y zI>wy(@TBYm3=dYMacZ>8fSPco2WDtCo)g{EZKYC>@H7}C2~8K13S&hD40<@&gYVoF z0}^CJD?c+CNn!Idt|Xx7$XVO@3Cptwg>9mzT0SA3nvq}=rD-N_eU;Fjh3P zq-j^Egn(v%m`)qNN!ue_mZjfxT?bHbb3$F2{zl{zgtBZ+oH2KqBgC*wrxAAxI!1V7 z?gX7ZB2EhTJ;E?2+rzxBB;5qC_k0R@eJJ(X92by|THX3im~~5>WVQb{kkK@(P-!y2 z+EG`Yz{0k>jbfextv>|+ew!(%>+8qkFkkI)+rLA-={{~EQ{W=I(`qeV;f`mHK%{nt z%M(?lDGn!nhQwq{QIuu&BVJ`&7L1&1)EH=6+E5H;T)0ZSSpDZnE3C`@7_%||a3D+- zRzk6r?*vmjdm-sN2_4@|zNdajs|^kb&UL^mi2R~EhLEWx67Ny9OLHL8=5jcTXKsCx zHEh>rvX0+DWy_r(9MstrH?G7lWQump8^)!=#_p}cwfXk?p7`aEu~NFB7I=`&)(7fT z#5aZM3h)uLZiE+yO@^I%1Cy=nCOUE4G{OOVGz7lH2E@9&;~;R_=knMdte8(8AxsJ; z?*%ve!#d%f%tk+}ot(xcM7F!YJDtvDyr$}SZ^^3468KrVJ^uMMF-8=V%^t+0TEkgrk_C$SK+Gb|5;7GV5n5K zZZy~sh45YeZK&w{IPXoV3qU6Ux_+|B?YTD64O`|i`m#bK$c2%?)gA@?WneFs8(+BGvZ3*pT3Jv6_R%=Ds0qiJEDib;^P=s2IS~#9{gznv-WjUWj6V-ILNxon z+4}iQK%z+8Vz7O_r2P{oq?{A)J?EPeT6~IiqhN~q#l|P$Qr9}hcioFF&4>Vk(bbG{m#S&9|z!9 zB`LD83QHclsG6M@vWYkq6jJY%Vk%Ae=87_tsur<63Xu{10Z~$la-j(G-MJs>nGQK_ z^pEsH7a}Z==$qRtn;YdsvQbiEuJll999#%_8?O2S)G%4M5X&rNK`}?I?>D%S7uuVr z5;#d}%lL$S#k9g-q0g`bfPIDZn@s$=fc-kQiZ^D{S_CUD+_ zynMc#i2OVgq$cKz--e5KQ*p!562KJUh3@Vm?ADoXQU`aCKNnq43uDO6FvjT!sYm{H zc>sV*J=L2og|ixjxx11%G{_JShFc63;HBMg`j`9FOH(=J)5kV)SQ_%rsBg{zUYnttWNH+$ffxymM;^Eq|Ql#Qdg%N%ik4au{KaDsB|5PPWk8vugs1yk3A?22X>|sfU zlYln}#O4ur66(FH_F$Ia!6l>!uZtLkt0K)O|DUQE$^_o5#HbP7zr~UR`3A4j)T;{j z4ffPHIkk1Js~DP{n(}zU6X+Pp7XWpvzyDA#uD{u#on2Q1i+ubg)k0glmyYL4u<`+p zY~z)*t~iitt+}m#yJbBLON>a@Wrg!;+elpJL`)S%T{WezT9egI6KCXNBq#kTw8YvH zm@OX0(f#+tc_23b6~3SGhoiq~UGUu2F-sY+Zn)A*%!&>ig7WxC`9pWdB%+OM*C(#$ zEG$&J8Ga2=K9x!Cni2k<(UvgL)O^@K`S%T)P<4dbxhjDGmtcF^>f|pZ(IsWP8Y8L-~;=10O@XFg=l)~z8DJGQymT9zqFrt zK!YgI?LVn}Bo(3>ZNH+VNdi9f-zC4MdXq&37v(K{ecfH2%TEi*-BjrOZ&Mn2+~|%Z zkw~I=)Q5Nye;0A#uOGXDh-2~UfA~Hhm0k@~$LCD5G);-!P+n{V0BzwBsBhgUPCOMBl)DN07;;6!e;J@-zLeYzZ?uY8jw^P%w< zec%!68=qSvz9i%dzV`xA#iwMhL0mbpT9sk4iQY;QhiDHH8DosMNZg8V2ji9N)g*`7 zqyGvMOOE+6R?1<^%or$&BzVw8#AR~;+NKf2RJhtRGZ-*TE(luzYeN;K+UPR2$pSy2x1S#GaTOas!z zpRQ1E8pQ_VJ$hdyMQ~WVZ1OzbEWTJO5FP_N7UZ!|(z}X6M!lP^Yi$Y7e3gn;UVw;) z*vgm$bO(rAfJ2lYFuT{L&caDpE{&g{plsR0*ku2Myd?;xuRT&kv;bk_t{9)?hQODk za$xgbVx4RY3B*&ipw|aogr4Q<8T|@ibRmSs_b}H*f3-LI82!q%gqQN z!}F|od}HBXPe$wf?F~YeL~eZh`p_xAwcbat!c+7D$Z%j~KYO3LQNVI;O6s$RAkf4N z;9op*?(UItHzSg-{2m0t-_?Dc7i_CNegjcWaZY!d5Zi79er( zY~aI3`}*lLTsWegTpu9J4%_ePXW?2gENVSv3-GxPEtWCjU4Vz0obT_$-I49`4pn3@ zEJ4@Tahr?#5Rrloig#jq*i>do0|SK57+?ap>;HIAagvoSeIgW$CFV+4$z01Rz*}(2 zKGxq|A{n~&pfRD*bcHd5`<|!v?7u34^+oZ3wW?F_8+8y0G;_&eW_<({EY!wqEc{4S zj~u2@43|te-_{lulYE8={A~1P3{=4}MzZdq$ih6G<484zw(d>?M)Uhi?d(&jz9!cd{@7^P3TwNj@z+6` zu+bG4IxK$`ZMr0tw?P=>XBF-1PRi@?DqwcTn)~)F`hqagQWEhp z&Qm$XLZ+La_b~^*K29cc=iIv2uvHw`*R=f)@^?Uf3VOsTtTISa$ZzKG`3mn!^Z5(c z${x*w213^Le>|MF8}Mcu|Ik885Fl3uWPp~RbDRhes{_VF*?HLm%o*bHf}av?ytr|= zoD@O-0PZXy@lkcSm-miLRA9^lfkg7^DZFa+VNMi#Q&)xya8uq=aDn3kt8fm zR7ez+^((E}n01%|^@vP0hEcc|EqJx!0sfy(&W)qD=p*lr=}zcZx#_SBw0FgSPjMvq zo|aukL^YqLt)Fs|Cw8|tq32^j@XnGqY^C{KFi)J90N+@ zo|6Lvb$|vf7&>QK9s+eD*WmvBQ}g7sliL_gN0YI@)+QyQoJcZJ$0nj3<5WSydAw4+ zH-%*8nh%7ZKR{+Wmf8ZoWM6C;4hG*wiCv(&@NwZKV@I7CRg2H@cAS|%p$4J5jCq2n zxadB$#K1be&Z86H!AilD8G&&Y;FQ@~eDcCtD6dMobj^7xt8j0p!E(s$@YjAWi=gBZ{7P7w|_&&1b!tU z)jnF>+11Y4X&mDwx_=n5mOfr38mBTS;edg+x{v?R5R8TSLzuIr(ptwj6|fyoCiOj< zSIynrW}&oREm(Mr zeI`e$0p|VrWA&Hc#fD~*bNQmuE%RUPU_^psiQ^24e|b7Gcwd2I-F8awNAy)(*!Pp_ zesr5u@X4jUIWZm0M^hu}zQ&Uyrkl=+&1H`)9sg>N|M@;e{0Tj)4>u^ce+%ay{9MXa zxN1q!G)3oAiBjNKtOcGqGx+3?tVnI#vW8m$v=3~!$ni(Y~Cf4+zniB1T zsF#l%a{O4)+{S5Z&eI)63OPM*~dGBLxH+@>?KxVe{ZG2BL*_BECOlCv$KNMLf-k!F-d5 z+*in=u+tnx?EWiwI8Yf?k){%AHdxY)9;e1&=TBIHuofKhB_A;FdlV}^55lH_*C_eJ z51wV85COiIV&)|@ll$x@{)ySo5e@M+Hinj%RfA#6iSka$kQq+9l7ZoLEEi`2H?{tb zs2!tx^?6FC|90j6k^M14B$61Ne)gABqFJg~6LW^Zfvs;RXNrJvEH}$hADe{!ihq|n z9IxV&1@ktdxsAd%$wy8S{#pI7>&WJg-to|w^c$=V{SogNKF@|2KDaI`rk@5x&V=f- zcb~ukxx67D50IqM_jICMW&U4qFQ)7S&QD@Gluzctc)(=pB`oL35~TS)@e_}>grd|i zI*xj|9hN5vfRv&7+n;7%{5u3I6#>2tu|JplL`s{=7oo;Os5?%QEX=hQi!KSS@SuN} zaq(;6&>eu6f3#_ms5)S1lyT3%RcToTU1l2gdthji5DK{I#!|{B*7-G8exbT!khq)s zvczQV8`Z`fLoMT8Hv#C{W-x}(7Mp$)G<(2dbay3)p`t`Fh32Nan<>#$NDM_O_{La2 z&=uK^A2JM}lnyKyL7pLcW z^cFy${@VRhQ_I_pgX>+aj>Xf2&JN^-kE@E;De4&jH|3~C1MOc|44_4>na5M+rl&Wn zTAdX*rB6<(IcJuuF{qM;Qr2?BKL%H8PyN|Yw)11WNR;lcY8YO@~l976K z^(hgfx5&S9Ij}6?EuKR=w(u;LLd%H_XBhja_n)ijKz&)`zvW%ikM1b+Z0uhx@%NY@ zcGzwCDk{}4chslx*K1+Ay$mxTIxYCh3;mAuaG?9lr2k)6YYvelz<7c*z-8SYZSBfR zQ>d+w*KjcDO(wGh2lA@-d{}jv#%BGCn1;NWn;gk&{|gXQzeP(f^2ni7$}#Upas1Aq z{2xmfb@ev>zvn#Q!t7R^Y^3y_ zt&FGRQmAIc#yS^2=_4A^`qRGgjPG3irRSi`hjeNA3TYQmQTCdz;8?OL-OJ-q+r&b! zWUxqWb#RCu8`zih)aXR48{NQ5Kt&5xF+4id#`M_c1+>WRc*hDUB;8K4wd_ZwHL#AC zs((d~-fs8)JkWve&@o0{Tq)NKiyk{B<`g|%?Wvy~ej5X!1FzjvPTjHKl@`23s6ht# zJBbDP17Q5JkttrGe>Du6KDuh4*$-S31V{1kf+soY;49H>`f=s9&eW$ujh)wwE>KRI zOVr1p;`4dSoeGp7XO-m_B9yrM=wmlsQzB=D^!JfcA}g?4N8gAjCCV z;6Q+sdoj)^8{bOhyA~8n?EtVUFw4optkpI*-wzUX+%&%d{wE@-k(0v8f%Lpjii&(z zCBw|JO`({EC*LUAVB^EAQHPVeN}{SE!l2q9e3h?qU~*kZ?W}S;?V)PW=is&gCP6QH zt>rAWFL0cp^I9xVx9}2UXDVNHvFJaBu)i+JpaImE-aYo%IzcGcYj$w{=U1y*X0Iiu zuabejTDM69-d{>EX)9F@rAQE_j<*fS1Yze|Q^?`aXbE3?`=6l0AvA8%Hf-fo%BdPz z%LX>(YV4dbjP)-^(d~wgn0CY_aQ^Xc?$*YyrE1&ZLS{5k=**q;NMB6|GXa9xp!cGo zH3K$|o7Y}6Ps;xLND91V(T^d1-^FYR%tr)aZoj(w!c!rjRR_K(Xde87@;mE#_G2}= zQN{QV)MGIi`a}!uwcS1Vm~B-XK8UNkEKBl)4;IrAUK(D*NF6(q$m^$|5^bo;@2e)J zZS2F6eievCEgO%H2y&L3>K*93Ip3e!b2f>~bDtdQe#_kf-Wc04xym^Ffo@d7B6?C=gm20^J2(C-zl0T|$%w3FvH^q}A8h!f#?M6UZP zDjD4*YlbEFY)CT}6!SPcYm=;1ewNe|c9|b8%2U5?0v1@F#ukF^7gO=CQ zITrLddA&WDG6ybx;SB^}+vjRk8U)y|w@-|e?D$1YU+CNLEv)XY!toy?Sh|M!$ESdt`xzd{A2ma382uemAmM9fUq-St;}!~Oy3}6^$MOjuMSuZu z?QKB-!Abxk3<&Gus5D>HEZuM^N@UpP8G$F_Y!}(i;4dC!q*YDY9`QcDor<-lS8kLJ zEt$Mv+gW4rVF-Gh{gJ>=`0w(;()m{2QIS|FE--b&Lr+%n)bG+q?S@HbDLA<^X1KXh zVene2iF+H#SzzZ}o8YRJk)vHyzaJZS#`Aa(_q8meuD}3bw#$3XD_V3Ql)|6*Wc|CU z(To_7Mu108OA6;K7O2dvUtBikwpE_m^is!4%2s2z+k$Rz@H$}}@?BD;S^5M9mslQn z(3xucRpeOqkaK}*g^E}JNF(-rznI2;B$`Bpa)T4I9K~L!@cqk20T)c>h8uCMX3)&< zBDv0^2kmRX=3xSULdyuvP8HDpzeDsYWUv(qkUoL&H6t2SWBWdbZuhJ`toa_yr`HdR zSz`D7?jr*Gb!SaMb`qtrwApMRX3VwBk+65J-cz#Mk9kdp^~*sHA|z?ed{i!e+8I@1@}G8P18 zwLpcuZlQBQp)aT4enHA`kP;qZre_w%B-46Kx1zixD)HphT4aoEgI%ZuzUBXW0l=u? zeC>uK)x@>Ryn)%a#;!#KVW7?{z`5z0Jg6zHS$IrWGoUi0WA$^GKjsQpvk)ib&88TjTr_9fgi{OT9=^T28p?o<4He;yQ%VKv(ts~Ac~;A zT<(2b;X-Lpw~7M)M*1mt?)zBti$mj>n1!q4D>IftI8~56g?9ks5!Ql5h{?id-=8Yv zD?F!kQ=-$e>&vl1Vs7{{gU5&GeBc-n8Ch8z@ zEBI#GmNeXip4FMfAY{u}ur3jaEyNajdTc=R)O!WjZae?|jkQX@-ML<5lMEQojn_FV zi)c1OduG#%0AK>NEWDvCA<>R!*Y(7cz0r+*%l_F|pO>ElD%9XR(M!eGx)UBH6B~lr zuivP(GBb{%#GM`L+iZt*F;0LZU4nqpJ-IH)ZcRIfX*z(rSnyF`b$EKoMj5jSa00ri zv>^tb5<}%OjMFudpAduq?kpHoD1X{_Mt&|icOw|)neGjIH+s9@dz@Y5i}b1tZzt&| zz)_AK-zRuEA~+F%StTRux9U1)<>aK3G&2$}qW_ef>3qUl*_VF)%9%jvxK!=wv2$dd(@Yh-2U2>CQLef@Rr)4dAP+&C5N$At>TCHRtTy3UxF4n*AZ7Rf1 za%_V&zn+R^9ci0*RQa)3ZUvSvdznl{=oOtqaA*g*7y`typdVFJ-m;vc?tt4Hh(X{D zBM;7FHv0NB*7Q0`7Z8-7>8t=}4H+qIGYF}Zug97+`!sU0ZKCr*oC7z$-7+7-$F!r- zjjc)ZWKM*Tlue%X8bB_!nFSuck7ut&?OSeXH(E`OT2jO~A~AS-PYg|57=&jpLesW> za5R3EfihD97=+Hf+b;edvW22pd$m{hQY4c(N?ywM2U0Zf0d>+#>Z^$Jf^;q;;XA;? ze4)$RIaLd2@S@vbJ>gnE=TDIUu?->awNr{ zJYOL5{ahseXMSMI|7^%`MMeD&%#1v|t5+|meq*zhdn#2Z(MCuMR(1dzkzV(IuKKJP@f$;@R0|hz zI1IMIKO~IS3^86=HLOyHn1LgYv5V71SY~c#K9!O-iPH^%)A%1uQUfzdr!s)xs$DY- z;cB{V3ZZcv&L2ZL!P)%h3)Z#5q6DId>Zq2b$!3)hmUw9Ke?-`#6DVt^5hY*Yb{7iLVg9LTL*j^c1W|9V~>1^6n$%dtn zJQ&~r#$~JALWCWtTjB_#gxESp$sC#56Hy1SK6AGOICK}nOgrM~5;> z?mA^7qDJWGFjP$4W^)1QHW5=_ahZ@TIu;3PcINVp!t!H;Nwx2Rbr?_A!hMyQTrSU* znZry1PWtYJn4w>+J3)A?6kGyFlZVOR);{Og6?NtgAYq!ZzX0U+MA7xmnRRHQs{zG(&6l3+@f5$Ws%%D3 zfg2Td)$#ep?(q?hZZ;frD4*7P7Bg!@><^qq*c;#nEffgWpiYcfA9@FXVR2k~_}kXP zax5j1`-QN9+0bVSMYap*nW}%Pd^MV^oD0La6QG(ha^Tp|qTQH{Xm^AvAXlkfFIe9I zxLw6C|83;D3(W|C<~|dl4nt*eSYJY{hDw1*Hf~g7FsS3^UG-G!Vu9GNvkO=`sHYYNeGup ze$}%Gr?D)@5h#%_yB5KLD(UGN2!A39!lSmJX6<%FwaonbHpyPdB5RP{{uuh)u?wb^ z=*)&ZIIG~6zH*#EO~v<1 z)g@Vj`{k5I1!=2D}w%s~G@roN^sh~WE~RM(018_ORj#~*t;qNH0bdr_#~k8-5pmLC~!u+ISYv?s9AN1cH7mzVhcb?w%(eTADQ`RP~YZ~$OzRn8n~hPWrw#hcsTg20gIW&P%-8W#O@`o z!WOHuiKRLRBnD=s{pKs2QJoR?W@i$&Y;0@4pP9J8^? zeyBIE8$G{GK85D>$Fa8=OV+~C)RPg<+Eb#CrcoTM3n;+%GjkLO_JMek?6`^@ukhu# zpW9OI{68qmet6Ykez*_Si)))@tYx<{Oy(-Zk@>N&?nf>jTOiwt@ zK9z1~cpj({l~a8Fd#EkPk{90tD#=3Ig-c?Ny`dgH_y@o@Jv3C))q4r3lt1%Oc!_Vb zG+omX+tG5A%x8YkCCqxrN%C^P3V$R49b|ZprJTUS*^4`DB__9(Udd&3JfF*IgeR-G zEcmXiA_+t}wfX~U9L&nD?rn}l)augHZ=$V^Uv!--b?WV2}?m(jU!h3RRj1;OKr3|bV+Tt64(Ci^Am!D^d|l+^_;4^61PN!J z8c_R$BnYl$T>>EFP4pHpwps@(8z8#;i`CirD+a_wJYOa7$3K2xI{3iy#lKCf{dHA> z#sSfrZ*QDRyGP!=(DWV+B)$R&0&OA%=nH`e6Cx)TKB1!)`MuB%+QQ&Wt~ILkbZ^y7 zQ2I5>$lb{z`Jy6g9+@w^^|-ihRQiF-!5ldV&yy&y!03qf8XKmh=NH>-Gx?%`9?cZ( zQ}b&&qult&u&h{r4zp)Ur0wOeZI=bIP}9%n1|#=U;vQ17Qc`C>VN zgv-y^2RFElz9WOj8iC)kv5sUE!_0p*Gtj@0an}LU+}2dB-TV;};BhzZwg*Ij$1gR5 zYbKqa8xD+p=K;cRw{yC6=F&d|FTmiq0n`VJ$s=edk*qy9U?a-K{IZPVNXlh1OiTtd zF$KSk;kOl0rs;Hnk*HG6`CyDd}=Hp34tPB(R-u22e5GOn;k&I3hZ##*DfCruZt2DLYy-JYhA^N**5D zRU-UC>1*>(1+ZBwn#nTjQT?z|NXf)cW*3t?w-*{z1wo^0HVTXXZWDy(N<@u^_F1y0 zL1mbenS2C<6&4;~)|T%(pMp6K?^an@g5h5rNF)68{t_(^i81< zu5JI{D*zZ+*tusXf_r*i_Mf+eDu4SK@#^Pw6pRg$%+96KII59$> z-+Pm*<|hIc-F|N2eD+C9hgIv!QA;$Cd5dev^Pb)I)=% zgXnfGKDXO*e>bG;3rzOk?*r;iwRU5Jf4V9|#BMZp)(Oaa{_^l`zaj+adX1=e;{mV2 z@oQgXRiTdEuA{;FyCFmZZL`>`wt9D&$HUkF>i?irwJ&ryi#1*q1<>tXg?x#0seWWG z*Qaf%>whm|imzGXJqdNgo;h`1%7PtXy<84N|q zg%3HRHeb=F2^==d6H71qg5D@2ye~d&#tVH3`?;Sclut6)VX}eqlcADE4ah~~dMUBu zcob#@J5wJ^LH;XGeD_P$hNI?jn_IZ63F8=G%ft&H3=R>B^qxzD)qJaJT7aX2|GU+K$x83@ z4W7md?4t#+t`rl?@GRj#sGQJ$JbYzz5=%`Uyn)(sc!}Vv{BJv^8vk1-(LAm3;+_G? zi3yQK4a()g0tAA+0tu~I*q1*U``mx325OK#1hsn^g8sc9zBs$!D?dx~q@v`j1{J7( zoIF?@M%}aPxV6CnZ%u6AOo3G9E`QL>G`(j`+7N&s%oJ7=xY? z>~=t+Vfmtbcx8#d$?!7#wC6~va_uz<{5(znJm#S!48)YmF&-@bmK0b@z=ml>SHVb# zv5Q7GMO_i~)gpBcICCEf%&XjmtiecQ^Yg=k`C*l|wK7~6nhsz0#6-7!7i&z&wKqHL z-vhx108g;n`zMur^qh0hOdg$6aq+K@^4e59n7{`%<2dryRc0P-z4uCOER7gx!LcX> zb)P4px9!~?!a|@Hv<(UzM7N$AhXH3)f>8P^bLm-O5?whQdH_bA@R%fJa}A?F+#|M_ z18%=Ir_?0QkE91I69mNmFrYe{V#=Pu9NrDnph1&SZ?-!W%0auVQr_6Y<*ps>s7H;IHaJ*Y z7+Ob3gzgKJhlwP0>Vq0U$>Vr#NJu=abBdcSVdCqy{LO!tX=`cz!X#ib7>kgSq>J*a zH11Lp>@?53-Qd_qx;=wqo$Zi*gE79OW=ftxxpM`^D9}dk<_QZk`BuO|mG>X#87$EE zKB`I>56%PaI6+@@*7g>1rVUpXC2N;W1XJzyfzk`(=&IGv$-tAKIWXD*PO3jIjYqDN z&;Fy8p46=og)#chDs)02PQaLUg{fT7Zk!iZA1i z*!*;1ev#MLQtZoJm3&j8m?ooxSmm<}5~~^iIW6p%-?xOKuQcOE9HxyrtYDKF>vi7i zm}dBJ+SYT>^P%zDd-!VI&4^iXemxQ?YVzfb=Wh;|5YGE$=HLs*_c*b>`R-i-v|zvU z)n5}2fZOM_MN1{{e5C&vB6r8z{zmc#G%U`xKLAqw+DY^va5ZHK(S zQ0nHAZlVtpjtz|T`s%a!^wvG~4*z&H2Y7s4G!8ikghXKaZ^A!ze-?EaUm<@3#qT_I zkV7FqYcN!I-`{&a|6Yp{`cjzkSy-+b}21}z*6`Ks)%iW0ilk05U@AN2n< zpc>Q>_Ey2K?l^tGm>8B4Y)b`N8iZn(27RmGX3IeQ0}^o!uaDD(9mWZ5OoI&COXg13 zbOKOy1#uFO7e{IT$-X6Pl9d`40GbA20Pin7Q6fOITZ<*G=C7n(ZkQ_h-balmh5x)^ zT+TyT4c8tRd?%OVmm7kY-+5Q&RCdOg<S{vtFsNJk?mxlx&YsIOx=7m*jKIFbvfvjvVT< zLnIpwBxRPnX0EdPVW`O#O7h0!ZW}T*BWvH;97(@zoyvH3YGN9GzLB0z<#tr8x- zG+=R#vi-z$jXboBGmzO%9;r$1D&>@Gmw(1WYU|81WKgIBTK`&`a~;mP`RVoN;b>@~ zmo2#Uj2pti_r1jS6suXkbH{`m^JlNM0D3V7C5c*6GF>dlQ^HXYs=At?fvEbd)}D4% zFgBm&32GuKzLD-^ST-l>c!?T5tf< z+Qxvco{HC%Hd&r&Z(O?NW>eEHw1JTvOt&40%REPG7f2WE+$_054sV~t$tPLivx__R zQJ>U<0YApS)_o(=$1DFt$#TOF9usYg<>xm7(_R3|O2?Vc3h@ix>s9gvi?y8{p0qQ5 z#rKrjhj?@DR{dpXIbrQpkW6ClcxH_SF$sx)dB`k3?+&om<-0H+ST+*9SWb)_?|3?P z24Odg2>&X^zbm|08_)&Ya+rn;b_x<%c%q%HKnn;QK%L1KWN=k9rQeQDH3x^vw99H< zl?LapjpU1|c;M}WoNL1~f^6qn#rkza9 zRzl2W;!X$X{*uy*27d+yEx-(ic-7q7Nhs#cd95P9LfZ3tAH2qUp6^cTtj6#v^%@Xs z{MJw6TGsI&E_yVCAi=z+J(ZbG#>V9>xvumMqMfI+@WHSP?|XVM%-ZkwL8fELxrw_u zIRb@N2Y#U{T?AkcZCbi+~G1;MiVGpR=LnCeOdK^I(;(V<(w*-M`uH zez&tHnu>K6U!wKCKxS99+ITSkG82=Hz1^h}!DuV#-)4(&{y2YF$4Y5s7yKQ!XwxANKb1doH|OP64S#; zA__1eM({^)%0y|4P4>uOIxMy8&ZO?hA!u)<-cYrvVPD%qH+sKFx&b*x*xg0jbxu-V@0dLi85I zfo=zuQ2P+#IeB-<%jX{_(B2RvPVDuQG*=u|mtLYa=TFL_zWnd-<^8YeA>zksQvx0D zj#@)E1q`)!JzbH5%AGD;K*t9Rm~+Faf()rN8;ST4Hz+O;>-q;5E*IGF8!l^t`4&2fi~G6 z7R2NnaH;YJ$C9)Mqmb$U<~90OlDmmlZChp}baRU-09jxO!GRCYjsEpK3~M831fjNg zic<8DG1i{p+f!0Hm%uRHeu@R2FUJC(>AS@~`YL7|;r#ATLxg9Kbnsz59;h|}U01m- zV=8{cCi)Vq)<+Y)Q5|>tm+Gg(R~qzSS}=gfc`rDU=qM4k`JNn9`FRIGrXkB}?+Pk1@rOKaZFvYlVYkp@5S#q5kjI>=VGmJM;k~p&> zNySZEPBG|j7Ei3DDgI;b+ezTGF5MPlJX%OXoD`umb*`M5tu1puMpTiwN`&Rb95>>= zw1s|`*}kWd;a~BHoX~H*)g_lIt+QC=^JVtsQyn77lWao1_4zTNaAeLxC&kz@*wBs2 zq%`Nky#Cfhjg3}CLzwuSPoid@3txy@)}8awyHK3dE*EXy=o2=a&dbwp{Jz8?K-hq)1%epgHBXP4a3|f z)GqniHvA_?_gt*tyZOz^uf-!=x~soy8EhPoq#(x6&04c7Aqpq=z!#J;zN)ofSBPLz z+C1sAqpSR0;lb=XqUGUPb}To%LF;bfceHXG$g_L5iEF&@5UYgWk8XF2Z z3d+ucI`G8T;OKV2E(>UpB`UZ)nWVyZoUK$BLw|)Q&SCXN#i#nuPJme zMpuTnY!+9OJLjjtBt2%k5m`7pf{9?Z!@*R7b56NTJ0pL~alCLpgI1U#D)Z#UoY~k( zm#dkHt>u>0#y8H{XZ`8Fe1!dY>8ZMPG$TlK_Yp4kGH-La584QwI{>3J=@@2JeuN)9 zY;J~#@L!&aZFfJ)?0RAD=S=prD_~f*F4WO;vG~0OGD9!_?Xjk!3Av|&Gv=iK_X0Q$ z1*jli0#l9&hrCqq8X5RTTjSue3sJWbe0Al9PU$4P#w%r z$#WZ&QPe2uN}r%k6-1yhCt_sys^58{GuFfxVji(tBW43zTlPfFoh^Q)@7b!clyy?g zd$0=lKCQw~ny&C0H)w@)wnBXNJik)Id4lqQ)9&>rSx7pmeY@S!J&kiqVjjs=CZ~)5 zB~oz{Aq#C+IOSPca$B96T~h7sVS1~<7zmAA{%NuokfvnL^pvLRzuH^J(q%Po$e+>~ zW#{hMZ`F8AXTQz$VGfQB(o#0q*d62*6xO{bbU9$&eV?}TW-_nT;DOlf*oKkq3ePsB z1IPX-IHXTSBq2HJF86T#Wi{gEbZd_3fdWAZOg+9-QT0M82 z+iTE10G<7-<7R(R3!E91X;v*(mFl&dTc9>!+=2r?J6c1Z+YwXVtus*vvN}-RjuHotq!L?!T-_p(>ygO7U1ey@u~(yzEEQo-j{Jtdd~|sOna&lh_*D|Hy0mo?iH#I@&&pxvFsWg z-;|L($=h+A`23i4o$FbhbLgO;>=pVk%xh@>E!KPRj&_$KoUbGV)bKS1BpOt|=0Iw@ zQ%AUp&%*Qi1~m=I*rs3NU8C#jGEJ>nJYoF(B+MI%o{tDY)L#1fUc~`{G5Zem^45HF zUq^rLfYMsi=Vr`$OP?)+IS|)#wQgAswLo-i9l*@4*U#@(p*<==9MRL7{BB@u(dQIk zbXwJ}@9`eocT)99ix+o(_j)M7V{tLHqIM$u<9Ibn<<>2-d1*FL0|0Q6U9HUQ9-|8m z`wY!LRyOSk%Ifal#a?>pd+62QY!?IcjhVReP9C@6Oubfywl=)uvI#%@F#sIstWDU@ z@lEHAM%%yNzqrHLn)K5G5>WS&=Ucrj@=Rf3VXTF^52q#-QNm`-CtEmz34=^ zlr&YoAs!imanw&6`|TxmV!s#`;@gZ|!2(YA&Wxf~#xSC@{^;sb(?1+FtE0sF75inK zc9@K)KTak!Q07*(ATrv>xBfm%0nA)*>&eSA38_=@A7STH7aBOQY`Df-O;p`PYN;m% z{$gAJMt7EHX#fo_9;s4l&Co50E_7v6ja>Dpa%muz-9_*dNfbug+Q9Zwj{l?SD!8Kh zyDoy#ph&k$OGyrm3WBtBcjrhC-CfcR(hZU$Fmy?G!_dvZ4Bfo*U+aB7z+LOE`-{8J z-uvtWRdoAXWA}MDX^1JTdzpTy@Z-m2F6t-ebX=>=qnpixEGLugsYq5$qn%{d7doyq zm$u72%YPhtTEZ16{}MZcbU?aC9?Bim7fsT^8Gy98@05Z8Vx_Ll; z1|0L%BBp0Z0#^w5Rd>3gIpp&Dl=qZrh8_9hUyZX-sxN785XNh7+89jeU!ni0yyi_- zX@C3Bph#N?r=h0pipgyuK#~o$7n{BpY+ATv9h+&v%}5Ae>|9AfUbb%m;KkgEDKt#)s+=#J!7a!I%Dpe8Rc4Ii4s-Sd_n zO;RPOm%_3IG;mNP#*}Dk0G0;XjxwT2%*Hw(y*Xc8bCv^zZ{SVaaxWA3k>9Q43qaCm z^&rTB>iegC?S-(sRbgWAc9Cnp7SgPsO!O*4A*xCC6_Vz0X!a$ZTW!M^c7I)P$a#Ue zH{;Qc8+N6`vU)lP?m^1*xg zYRo^3`raJPqMXV9S=cU)ZY)$-dQepsD9&U?v1GU3dpQ*lSNC(UgR{@9ucH>hE*J|H zEQv_w=U}`W*^2*gw}V4Bq#~Or=JZ|bMI(i&=S-8ES{Jd5P21+5cOwd4N$4#X9J6d@ zY*XjNqqbWiXWxebRMs0X40qFyFp~7gLT{dsx-gbow*|x;K=zK2eUSE}sl@LuS4vFR z5O{#<7Di;Z@Sh)tZ5P}Awv7*;HmO&m?H>0QnENc^@iURE9MzzdUmet*Uo4!OnvB}C zregiH--)xaew6ixX=p=t=3g@0IuTA}?&CGCmTw>Sj8LmF?gUu{(%Qi3NpjIGB|BwS z?FaBVM92L`iuc`scux7Z=0)#{!iK(^9?_|qjJ;~n=rmP??X+8iypOFYsm3@aWZ2~! zFH^r2s~j6*4*yL|nVR@t0>NGF{vnX+n(SCQ=uTi<1ev_=s8G)>d+lg-wkVMtI?-5T}&z8*6p_mTa;m@N$UgC$iHOtq!J zM?iT=qGR9FGfj4C#Rs;l4+c{u%0OIO-|stPLK>;8ZjpW8bpK3*wzcMnsIgB$vied= zTd*fn%Ia}-x#gcNh5lv=^R!@jJrNh1t_`YE^Y$o!((DBaP0aPB|-F;_K#v?QcH-f^o5 z+vN2{SOlyHJo@cPpM3T4?#<1#QP%Ql=!A0^lmXZwu=jArCRT{5$S=K&*f@jgVH9az zrordRF<&9J z!`ZeJv=wKvMmmEFfJxpM<}8;6B2K1{Lro#Gu^$jK+FQ=B$b~w zU#HK0Xr+pOQWKiJSk+9Ayd8*D%%Z~*+lN^YM4OM;5yl0jS|xnSJ`D7;OLWu7zIhAf zs9j0_X{%*R@@;zXlh`Jp=H#kNzCod==nI<>WO|_m!;_-ZG>s^mIK2?(TL*SFQO+5q zItP`SjTY<$pK_$L>?9AUx}-tgXpI)v#`z=-AkG zk`5pKlvjeI9~a*kf_+^;pv2046)yG8%%ds(Wx+k^aQCUu5;({8BFNN1=O4hRm&kA# zQFoG#4RlD;lm%ZY%O;X_;o^)^diLjSoPCt8)+|ZFpCvRZu={4r;~G>TQrTwiHT5R^ z$r`dKlhTmfuZIH}p1$P9_$rEw{R**8XElc?sD)MNdphW-Cc)8xx`}1P&{_uhRkoLfnpul zb9d`uFYLt|L-0nx%oEOIw|S3cJ=;6J{m4Lv?wYyBX{4gUTCEo`s>|QJh6Y9YdA%H` zT`SPmoH#@AbTyBIA^C4!G$0#Iy3BXb5Usq6BrV_C_=17I&6$ecxS~DX z*?cK^kSoXrz+?(CenxI}{!ZpLl{Czc2r6lOca-OwOm)VQvS5J$C;xysreX3eCDlAZ z#$yp3LGN~w)?3kbOcnK-Cn7oK=(>aNaw1 zGJFZUa5sZ10j13$*4||3oC4EjVu$M)ek9wYFLTQ=2fiuAT<3Z7H+hPXh-Rt@8XOcH zuy0fip}2T`p>p|65|jUN)3sA~If@sWzvnyhmce}NH<6nB#%L}%aOwT$hgpzqn`GD4 zdVy~K>q!B*b@oyVNaME{FFs;u9UdQgAl}|kRk?&U8a#B7`*~s8tD1~LIsp}i_T!oP z2b+Daa-&@2MajFh@ctl%MOGyPQ}%*M(iWvFrFH7%_cjP|oHp9txmt6NcfRM=NuH)~eY&n_afd6%!MkPUO@ zVn2`X>XA;sN#svv%@f4hB4ktQ=2jpy{)!f7ZIW$#2se+1kO%Q~oD5042L#;nV+l=( z35|YtmA;waY2S4kfSFH`Zhj56#gj409hmu z2_+&vQ!Ra9Wt8K z6%XsTk()0U&L6G3$r5_Ql}G=iuu6j5BJ;vC>Ey^yn$%ePD zagMi|XOe`KCfr`Od$iEr1u2qF8z@fQ=3Ny!pK@abrT?0I%f#>+x4XRiMxJZ~`|jP> z&=KC%%%)G;Gt)Gi(IWh5=M5?gSnQUj*BROV`7RTj!lu)G%RBKPXW0z}zG3H|+iv+Y z+g+d@64S4s0qS{+KYY+=FA*5C54wato3TIk+so2zapFCJFgV`W8sDjCkobREy~!sPKj9hCo~t;>u~} z#|zzkwmf|d5^1fz>m2u4qY2RKDx1BK_Z#?ZZ_iFV9)9T()w@{!El|y#myUEW#XLdb zdnI||0{v%V?Pzw>uM96DUy-x%FGk}0iuzthSo-117)QU2pgK-UU1O_`ZA;h!542JB z9s|^3sY4iuML%~Td_T~(moqMS-41jgkCqZovyHp+S!)#^6V3JVU3EY8NzPf)=DMz# z4cdIFxFcS#%|2uata`K9m%`--CLaDOLyEuWQ$tcjgYCQ<7cLKO(0f;py|)@E zdw(A`i#F>IUglidVIp4*p=Dus7g3VaJ4kqKWbR?mA%KIaaca1n^93(Ah@>ou2)AChDGY)&F zzC9xje;^=e!-vPDuBt)~v=QaLsoQ>#{M^|`dwsERx8b>ZV;pEfwPGcoGSocbN_4mo za*d{*JCD`+VJ$F|FfqdXBVeWsb;n+yuEu3`jcD|$TEHc{OtS2Gp?1=;?}rA1Kn7vR z3<~*C)p8ca`7U*Wx8XkR?3W!8`*a$Pim=kFtik1j#$+Vf^2t^gb@^S&(LeX01x2Dj zmH4EWx3I5A9B95fIFud+-L~9?bbr&h^s~RfWca#$dk6gAKSkFK+DKEY^TCy0YPIWP zu&<4J$2=OB@F%N7LiS{cx7P#Z-7pvI;cb`Max3a6fKh9^YTvL+;ovwz+lqdr~|gtSP&Va(0G#I;>LAxh(43o=)Q(|BAHBwysC_0DqDN z9m7ui0A=S(C=I72DgB+D!N)eHHY3*~d%0t-aja7Lw4`}0MM_rj6 z6r*CxE@vdcrQdVjvqOD1c?URXfbTX($#jnNA*BDn>f_k|PCgAm6H4x;h?@}WM51+hlMBw zh{Ok1WwU$llhPveK2(15U)9&;!^G@&?8U{}>-ZBv`!IODS@YCs*p=9ECBQG<5%8V? zH(~trF)#N)_-29=IUXrH^-EiB)}w`>iM9NCd!ra-!9Kzw%JU~=(XfS_YZ1q=g%CW= znkjbQC+v7|_@0RcWh47DUCoWa-`cbhIsd07q4H{{yNBHYvs-dLc}~6iry*oFoP7!3 zB>M-Mgu!vG7u*G*34mNdWI(qK^;Yu2Vyym#jl%w>>e9N;$KI}MP3 zCHloOx`8OO0*)vo{ns}TT4ys3rNDI}N(f!NAzwET{r9D7E$95pvO*T0d&o7`Q5 zd}tS0Qd$n1ES(eXS+CYCSgU5ml32sQ!(CsR&gN}4oqugMeVJ)lW;cqYRm$T^;pdMb z6$CrH+y3+Q$ev4cKp&A(XI@VFaM>Sa8*;fG$X+4E`OJT(<0%SFk+#AyU6W0l%M)`! zT-{2~TO!Y>3e3A{33#;#p}G3fK6@%?%3b02_;2WPe^C=lrTq`Y55k^WMTWRb_L$m8 z(i)AxR>STcmgW4GJGC`RL_#?LaxcH|oRlj7zbMueW$d+IYA+kl2SNvyi|wyr1#;WU z?Wc%2EJ3e1Pm!G5WSOqNAc(!UhgkR8`&$PvwrxkHpPH+}1NWT3piuNZ!z`4~P}I#u zC;j1TRUFu0dC0zad24h*;I>-N)2G*LkHgOBvX{bmoM?BjdNgX!(ZdpFuj42F9;clK zw&JQ6_Nmu3Mpkq-+ungSgRd*uwNA}HX-*K@T~||<;(9jEFJ-=@!CA48Lf}qXG>|WlU_U3Oyz{viJ zK@6d3$BlJGSC?60w-1zgV(;EGl5J!g#HO)LIvZkuEB5;O>j3PGiEu5-_JD>4E4gEX zGB6jz?xzstQl*bc5|4Iw)wn0oXupYj4b}?qRCqns9yg`OT5V*@theZiBTW=ZWxUp7CD6sVMvR zgW@0fGEi;#wcNC+ip}Wa{y+Cm7tqEL_F1ebGfSO@#HbvSYYipY)xzFcn4QP^{bo>4 zigS!awW|Qc2Z|0X^mGWH9&$vTzvN%nuU%V zh;>boTQzHbsrTh1@n+DV#;X+eVQtAC=3{&Q!5tg==J+r!*88YA>~50WbLv3JyNMQ( z%+arlzg}?O@M=VQUVZ5DRj&zT*4_X60!7Z(^pPaBH1^(V76>~56gNd|iao{usHR6( zhOTWGGOcXZcIH%)I71h|{!^C!>d2$p>fUUJULKQw)fHezm13~-+~HtSC+)i-0lEQ- zW(|a6@{9Z7FAFa(v^!yCk^(Xil3AU1&g1J(UbM{1I?m#;G-8L_vk$4^7(A_g!p*aQ zK+7B-3god^p8<>dhtY`W`V0lXEDWwb2(mVdejGt%+5|+h(G-#IN~Xd zp+~^;&YZSqL!U;9cJLiL9=1T6{~j4cSbkWXJS%%-=~Z)mIDfj+NLoIp`EVcEn1Z=uAes2h z;jZT-+F(KdASqKVA3R+l=D|}k-!+-rxjYkW{-fx3g++b=e(H4fg}sTaKmGkCh1J*b zmFVBc2_?-(f8P?wo~wQCvA^l5FVMA7w5YLc^rN_7Odx*oumOhl{d8ZYR}<&*jZsy? zyo8U%zA*m2^EXrsO2T^x6N~ZHDWNgQYy~qxuL52RKwjlo=E>YI<&-SwBMlzcRX`j# z2k{&`v=LcQ-oItg#f`JayHbfF056bv2~pMwr77r&FqSo8K^m*EBx=Kk;y}90bpDIN z8?!blTo#boMe0W2kl_iMQ51U?%axO_DQItq{M6gmz&AxUMGXq?+v;onmQh$MDf`C-K24XXT~xDdLT0 z3ABDNiv?0;&N1NUDM%Q(s^~qBN2+O&FLW+f9-YZ9)A(y(cskD&(=*ZM;VbK1WICWN z^|OT%UyULv-|a^l0>V>Hr)MwF+HupI!PLT108cz8_2z@*pG?23wq2YuO7WHr6|_gt=YT#HP( zep@gZh$geo*)3pmYF5H1_)cSEmN0RrrhE;OR@wBWA+-$do9Ox9j+ zXHWdFfL9L*PUnoFA?KGXR5mx;E<TXI-;;$rtFVU(rI}Dl&2{Was6{xdhT`|<3Qzbj@GtGN-#mWX zJ=7$U(47p~6RXb{yFD&X-J}nm#d06Lt@BQGYXQ}>Hjn|4FpsLKu@ma8xL)*Sk2mnr(DaTB!`;(@-|}yIFM}B_5{49fZ_A?({Q+^%v<{^zS9dO`vXpW=4XNu( z=Ni)v7R~KfrI%?}Vc@}VO13|b|MLP2SvsBROMe@stTkz~p#8Og0X=@BkukX)ll8}! zGFP`UQOH` zG8wLx{#+V+qJZ9U|69#nSQ=qR!t45t2k*DQ6j$Y;>rTr1o@J|WTf~h79g52({42!A zNvx$OKu!@}f0*00O&JUZh7D{GK^vT^IZKpDzt2O zyLNS8`MTR#8quE4rTuIwNLUbZjOW}^GJZ{&sNlt8MoxBIDKUk^YWIkB%~gMqVtCFGVNo|HNE7Q zvb}WxHitoqs$BOke3K}1b8T&%kJda5R0zgz+~iAx_w0QQ#)I>Hm1MFVrBP3HNa@Aw zK~iKIOia56GvtUIwIO04&r7$bA2rg!U?Fjr6NTkS6`IR@ik`NZWT?1v_T5ei;QYN#M6^JO2Q;@EnnBy{J7cPhI_553AnC@RF<_hj;LL$gR0&uvH; z;k#~Lm^hvw)yJZthpvcrAc0`d%q7@_ekjGJq7Cb9oIBNR8DjBWDW}yYId?pI57TT_ zWR)18buRI=4eoYJ#P*?LN89N0X$rnAX#?x);nSHO;p%rbh`ExlAZc!$Piv3F?$EJyR- zK-Vf!fP)KsXRj--_}%9%!o=H@!$d5I;Qi1Ul`YfBAgAcSDtA0Ra?_pM?TbRR<1)sZ z+-=|_YpMILy1vDq-NJVYZSj7h|J}6m;2EeQSnR(` zF5fbQK@X5n7ZyiT*LcYWUu;;fiW^Mu%E*9V+`FT(BEC2o}gg2MEM)^4EU!;56?Yy7xA=d+`^+7shT(N=U59d{78NiZMuE%V@ zpJbG|t!OCa8;={O&(|m}kcO{GHoG*?V~0ag3VQ|(lYp7dQSX;H6BW4M9dBN_ejP_$ zN%M@AxE0-4Z`@Jgar1e6V)MTV<+l~t$6#avQ>k0Zm9lgBl7YPsf+q!|<+pzQ&`eDy=icw@4{gK8UcJq5P7`VyCp7C-ifB9B8f{DG zHYpRUw--iTy%LOZcbR^H5H<~d+>&he9u@@Vd!E%NNzr>s9P4TIXWET_@X5Im-_%TS zT<@vC%qmDvyW;MS8j*t7;uB=TF+n_SB8;ph`>z&}6GRNO!3qkzMG>|=!ZoNPTMZ?2 zfiz`ZqI+rm!l^O=?M}WaHxTuKyGn;}FQ$K%ty~c6MvIM@9GSVEWoz#~VI&VS^%fDj zgv!j{)W^SBI>_eTu%~hUdWUYOysF`O>D*@0)Y^UJHSE z1BdpucnwDAEZoM%qO|@(nbgYZ`_zQ+5BZeu8QA++X%~}6rlz*#5Zw_52@P-;4}I2H-WIJ>05X%~{`ljdjVx2P;G2mBh*CK0Fc zl7eEqj5wU+mI6D|2Tq$~H;RhO{W{dPa7BIXng(caNfiD!@3}%K?K>?r^u}gX_e+7w z44^;RGEb$bdKoGP=YL%1%A}BJH|JPZKGDg7qTV(UvD&5~uFT0!C-6;vbk5vp5CgL( zCZ8j5zgtV*@~0maoVq#LaWyQ#gCtgJ^Ynri)-#xp-XVNgBPdmjWJ=)oWJbyMGIOfY zN(q1#AUf+v33qFlbPY0E)i{DF`d#c$ZQ59Q7<)KR)kw^78KM#IDje6Ul`t(GEZ`Ir zUfG}mZA)SgXHriMXgzpaQTX>e+u(Ct9e$7yAWGqQiEMAm<>HSaZfF4+di-)rve01& zjXi{VNr=8^mgTlM#~qR525KHq&Rvca=@I6Fx~?~EtuksgoJ70Rv<{Vg4^h&o*brr3 z^9{Z+RF89Ef`~r1bsPY4YTGOzKCiK954^ttaX!2%ODPw_qAn%l+UTg{v7yAet&|xL zC=S(-F6tCdIptc19oh4l!6%OtUrrlGsnDKQ^Euzbq$YnxgL|M`qjaNpZ{Uo0bG0a5 z>(ruQPU_k^Pr9(|l4^wrgOob&>o_rEAyMd(XFdeX!5CDa`||?MRhg~THxw_Z5x15o zuBROOS@gB?y;ibnwWX~k&ZP7;WdB}YUIy6&eH^*T{yi-i-Rg^5PD1Lw5)v1my*;lCp5Cc@C5tV4lD2jLFd^5@b9eL11E8P?+uv5Sb#00SHw zmdJQuGxeI2Zl#rxL>)P03&TIzzXMztY2H2@w5{TLev?h0nV*#oeEa85bFLdf^Ao0Z zD09{e258l$3|df$^eoK;2f)^OJQh5^F$rX6~li6_a()7vh1?BnH~MEO{Gb4B#k_VPJY zl|2`^3xXqffcgFpRi@lyZ_&Yw}ZVs1D;MYt^IW?Hm^^VvYw-7gEBm zEeGB};vTO-ia&b=B?-Bzb7wy7Yze^C{PDBZdRXPENz9YMw#~Xk`b@aqq^)>u{X!^s zZeU5#tzK25=8lVeOEl@Xs;);>uNhY7VFX{64;*(+aa-M%LImebz%4n&S&R?`ui@KE zH1w>eCb042qUDe*SPaU}5DfS+cFr?gReJqHq{SPz!Y|M!PAF?5V$+iZhn)rv;Wl{k6MamOt1I zZkJ8ky5>W4)2W%ERMqw92jIN)_qmCag*Un=a+}!oT!L$Q0Xfv%G z>ejwue*ZLDyJBqC5;}GZWrxi^04%m3>=mx{TUPkL0qas;V^gVp`*W^IY+OT714)d3 zBYeYPW#m|AD><1rYu%FiJ&;cj=Q_Z+*T$$f-PgbMy*DCIC-SGe(v(U5%?5c$^(;wW z1?wAPKANB?m*QO`!bFOXp9Rt%tT_5J`8;^U20vZ$HapWtps%6v)TeaV0S+Lp^|f1a zIP37Mt`F11MGmx}u)+o0+7rnw*B4MJ=kkZ|gU3YYG0*+)$p+eHheT&_`%QHxlbk`f zCwhV#MpTcb0?bgU)&bp3p6=zPc&DZ;%Y;U-I7FBHYtKyp+>NT*X?h^jD_<$OJ#U|< zxcbtulD3U1IhSpC#%XqM3}AzZ^~_IE&&3mk+)uMi7GJ?>;wf7GDn%jOhK6D|cLTwy zkFEt1jsu{mO_=>(viH=Gg2E1N-M} zc9)q8(>f%Nw(n!#XNCgbUwag+%+W$q`6^rHUHHK`ZS+$n-r{+2sX?z&dC^zI(1sw* zW4v?}9@v0;T=#h6q&|*@aLZ@6tNx~h_NheRKDNF;)v@;zuB&_M=TEXv7zDUj#T8Ol znob>SE*A1At&l2l==$S+r7`o2;fk7So) zTfETC{_x$cCS9C488+5FP@Rd%)T1EFW`DKS9|}m&cU7B{{wKMAggHdy#G37ET{D)FjSJXDuTUcl!wYD94$6m+pLxgwV|FCZ2J5N0ehX~#UJ34Mx~ zvvAKa5`57rLJ-(a5aq47BmQ>ia2i^OBsabCB#v8K00hMNazhjMKix1q1={*E$J+{XiH@mdtYHalgbg zPm18zO*-XnzshtxD(QE|A~Y1GwgIKyG5NSS&QFVZ)?o*t+x%SVk)C%FW&I;gn=KtR zSzfI5=AI1B$o(+PF5Vx5PLnRU$L!|vxsYeGi@VE%kvFN})&ACM{fp?eBL+rY(OR7@ z$^n8cE5qAtJS@3-a+RTtnrJ#nsc;lsO^%JJWcKhInz7V9Ez$P5h0p!1mNhG3k*J*y z&PZ@9Eq=p1CU(QoFKU zLH&fQYAKZF)n{x#%#8?`Sm;_-d5$qF`f!=;TlRc#oSyqrC1OaWktjsH|5VZ?FEaFC z%c#VDTE|wwUG8a0dpF{qWw7 z**73_+A>T1ZkMHGQFG|bYkC2QaIB+!q6c8dh`m3v=UZN@Dxa2d`QS$O=_xIcG8j7R$rmzz) z_=t0E_nqY&yZd|AO=|nUv!ftsp?uvo%+o(#gU5Rd+-5oM2b-a3@M}}w8mxvf6=)UP z%oEn8nfc86gmZ3bO(nRc#fBDi&u_oNJUTTP&|4>5HQwe8t{@=%F`j(!FwqSg4662n zGvBlZgPYh{ek}9|GrspMf*P+**3|1wm$R}2T>R>-dh;V;@rr@@KiVU;ACUOMx(SU7D#gIeDCvD2~vX7mBDn_*09Kdu<0isenS~YX+5Fu^5_?cU8mY=pDhJf zPdlIpqZ~?8joqG?1nNg2!GV)T+rP-LDkQ2k-g4$NA~HJfM(5{rm{WwD!z>-Iy6UR| z6-*k#VIP(bTK>gViuIbw3;E>vc07yH{;NQj0QRG<7Y(QWL4xBk!*wa&IP|YYvuSE{_Mbm#y$_(zOX65}ylk2QKXjzA&cj1_JY(bWN~P`b=*<3SAI{hDD;@#38s;`%L-F=X8U*dt&aSRq};YI zLLH+TPhhA6iXu0d-P)D(=YF6(LZ7k-FF^coWRI~>XekF(_-(fB{-2iiWZqW}kqd&= zGJh!XKRN7V^2s*q#<;jJ2!YVl+eM**q!^|shaT!9%Pn{TpnrQzmv9>#36YD?%a6EO|L*`XbI7;PW8a4z%9)p&E=xZ#_SM(X$%vJ)8w6ko15_`o{ zhAI|Uy~}D*c%=(bjC+{%S9radGJ!p<+o;j0>pHf1J_XX-V|^SlADM5^qDry$IRVel zcJyW*Dn&eZ*OZKavNS#K_=~qG8N^#H7CG?YhFlI9VV!}CqKK1!IZb5>$S{un6;Lih z(S#Kxov?}~w5N4yejQdaq!qWb=4Jwgg3un6qZSmE+Oye+`WwWA!Ye{Z)2@O%AjNS1 zT4hLB8$88?b^~mB>D^>8>&JaN#~a~3XiH*JSR)0V_FT=0+Ci#>Tt)O`6xmx+z`=oz z`-@{H#-pvrC8R6{v)gF(g}1_=u0^8XGh{t?d(dO@b7b}0;_Q9o%jaiK*Ta4*_E)eMr@WU$@&*f~R9j#(fcr-Y$=S_C#-GcNAr)Aum>b%9BVz+fBGV zZcXd->M!XvS+irZBqA?`6I@;1fAJeKWXRrtf+j|* z4FfNHMipVHcszdmN@VK{e3zT)-P=Z}wvh1#|>w>H7*;sB7P zoY5skGMb;lAe-OsDN8P#&7!|y?nUViYVvQ%jov`BdG0{VlbBLWXTvIiAY@&h=nk7ZV4|(NToSiT@RYBu*L>+`G_<4K)|twx7VUT*Ay`M}=s-i( zj_pG$RzTD3$65mX2P2#1gMmOW#fLPSbFmiDniq-(ro*)2Dz-;h{HO0PJ~(qihQ%+z zx)HbmVz=1Q;FKtkvnd{QgF$lGq{o7d7UR3j@wB}SG&c1yc9hL0#y~O8-KncF5*oil$CdNZ>+RWamgPWXE^Erqvs%p4@}oiNJz@lAW9^P9 zGx=$#ue6-+nbUw-uJ==nfZMzrSlq=i=%C&ZLb!%Rq%VyUhp@NVV+Ox2Y09HF+H)$& zYhV=ohR8V=)K1P~$N(-}b&iNet2NOEtE&Zp*k9G&E=6eHZi@(Cj~!2A2Z&0NseACc z>``ncT;A<8%XXle+NTTIW@Be7SB-VUE+PxC-;9Q62>4^;}%}( zy_lqwsxFV8rFn0Hi&iGN%y89c>Jq_Zr#ewTIt#9(KXl;HKD*83j3+F7SwT0VueUlp&p(cw?Y`CBIr3VG`34$K z-4k$Kv(9=PbANB#*|=&uQM0G-%cUeBb{i2VC}!2suvc}STN6VY3C4hgxt}=yTu@UI zgcOLKZc)RY{+;QY*Ysr$@G4nJ@A8At7;|@C#Rx@xMrg|ZBC#Fz0U|7X9V5RaAqJ$> zv0MJ`0TDi$O=p33Gb}YRUXbQLjRoG@#4abc`Jc67Xv*qrSV<4w{9}QPzQ*Oxy4BWv zWiIrHjmr5&asD&}FuAo9bjx|))jD~j*e;_)(6LbRLz{fRJ@re|hCxZ=T&N)36N4Uy zLBGevq%f!_m*t-_#l4xSqXRm~x{Q-ozyF zNXMD;r&K!_tn|KqoO!)7yYdM_x4bFY@=h=pws1xj%KJ;DyS4ymqwz-?Lb4TO zqUy!(?Rch2F@5$Z+iMnA#fkPEG=4z(T4fogrUK1)h(Y;h>2eZ+$Pz_XpvXU*Lde0? z0It`Oo>nK!JW7r!Bi4m{5u1d`LGcELEJO{S`DJ?+&TU0u)R=0rD5$P z|9&46HU{kBjygWYj_*ZiBj^MYlq0Sv8&@eynqn+E5DMeBK*Zm;O(w>rci{u2Y8x+C z_a3pbItHcXtet;xClstxv-9ttR47tgwx51Z9egW81d<%+&6Vr!&jh|aKsZUWOp2FO z8jqEen>nQJ2s3V$ZnX^pjzS{=`%%sp?n!DLpBASrMuu4+s-3zuBo%>@FUog-h?Gb$ zx~xVJc}|XmI<+AM-Q*5!8FjyI_E&v^uIG>XwQaqi^Y14cqaDjAf!105t$2UZx`)7l zN>KDZLn=FlFzLX7Oe<$lz3vM5-_ih*59e2XTiNDOwa_2k^|N z{R^vgyQWC7K(pxi(QaoM)MS7TN!Ce@Pu?LGIfIX{*F3wQ_BN(g&ti$N@U*cGJBwUg z)!*ENi7WbCUz{+kjfK(?8v-d#<=wklAJFV@mEw?*gxCVBOyQzX-=zK}^M~*Nuj@n4ST}uVcidU!U&J>%@2nZ=j6#Z0~c67Tx*jv=`{nKe=Xu z(BgqO-Y)&RWVLhBdo=^r#H0W70=NeGymM(95z^_d@dvw3*^2h7_(|xDNiRmt3&j`g zcx=wwL~twLCA;vcY*L`rY~-@UQ0KyuqXv5qXy!0fL3Dj-BP|Wgj8Q`AKoCU1fM^X& zB_2^Q%-WbofJ8%X7M0+Q5#mHw{SYd|t_rJk?E9i%HAz9FAA9jK5G-TLs{6#?1xq5+ zI;V3!j=e1)BsgE>CMfHx0x%^|uOIuz=hq=|{LUU_f>kZo~! zOybk-kDcF0c{vK)pzWg)Q}y zf99}amyCy~YG@357EeW@8VPMLkB3%V^4GG8D&jzQhAkWRTk6~JZkic5+MNUo4 z(wXGj4_eweTRpViM5k5D8KBv@d^69cSjzVlrS(%ReM%;|OK4lkG|3}oXFZUKTD2n$ zs(g;~v&nkYCHyUWVBlzrl>$3-XOU=MCnw~Wt=j4Y%TW+->j0rils7-ea1+PS_uO6h z+b_$9>)AGRPsYayxl6JEGzqhxGlZ-ACuuYZ&#m?^2R(QCU)H$A(?H+qeA`MD@ zt>6^C(Gv8=tzeq_xeZrFR#bgU5q!~y*VC2PyCE?;nI(%S0+7a-P@w|99k}v80TVV; z&KF2$05*v8Z1ORD%KN`3ue#j7ymJ-lxR^q=8aQ({a1ZH5Vx6{mUpy|reQB^D=N{yz zE7!V&i9WZ7?;mbzMiA#~*TVmSAFm6T+tda483EX=H}sTfBu06|P}lg0did9VI^@ZO z`*E}J-0(tMnD;u{!AiI)+U83{W#>0y?hZAP)Gf5|SDnA5h*~&Xyiuv3>)T%GuWmo> zX^curee0`s8J!B(AIww8)*|p~{q!bAD$0PI8Nt5SyWgDc@Fky`d+gr};^&pvehwQ-s=}Y6U%p0x z59s?_)pyyUItA4sWC8floO}(Bfe4jp`=wz|bb{;(6LarX=uA=v)`iskbzT_wy$SJ| zCR!u3_NN2$zH>IOO=*@4a^GR}o?x7|P5+QR`m5?wt%q3775FWV3EdfqRTKEYkM0S| zl)1)d5+8k}#5%Q8LLc1vh(U~{E1b%7hqqszRNjH|-ztZR-x!Bu_ACEF$Md(mMS-!U zeby$A-{Dc;6osaDy!IRaKbp=msLihH!nj*YaVv%5PK#TMwYa;x2PZfbFIKEjC|2Cv zgS)#!aF-CAU|*hh=KIA=X2OsA&N*lAwXd}f`h~GyZy+85@Mz_;!RmU&gV*32X2d$v za8tfsrXLM$i_Wl)S$IdWp6vyv8r#3qcPQP+?qkNA^0kk63=~o&iLe|6*oH#2Hu@gl zl}OU+Dr$?F*#BLv9!(gkKMRG5is+VQ8|E}~hcFr$96k1s?=Ph%f zN}FtsU3^9+7Vu$!h3i~^SMuho&dJ>cpOJLi4ovA2_xg(SkF>aEJWxdgpYzrR^7ZJb zgBz*GBe>*!;L}gZpX*le`+2d(up^U6$fO)Yy!L+vFxGCbL#H)Gfi&&?86KH5&!YN= z(1n@>*kSQ1C}oBjly{qk)nr8k_^GV+Ba>G|6WiA+`eGp!$(u4h)G!%v7QMplKl7jb zwq^MGu{1YfHiI-N%+Z2hl!0(I=`nivJ}jhx+c?YI(i^pGP@5L%uSiFDQ{{`U-#Ar7 zwQ$^j5A*c={6hg^$S#pX-7-!ct!Wzj))UEuI{uj54HRw55ys;x9bY}P&#)r^|3AnF z>&^C1zU5Mz0ua*6wLku5+w0{R?xhXLxgWf)0QQpWfX@W}HFCFOHnG=7WUz=Cr zDjq6P@;12BK+?>r%YmzZq(%bmn=TD9L4}B;`~tq+f$b0GJ}NfFu1ozH7G)9qKTnw6 zoxU>~$GUw{f#c@3k`B)%e;dCPgoNl12)~XPuO|CUUQFm~&9c$Psx{FV1|&>WxCwM; znik&tHxB*8gnB7uw7=+Nm|INfeZZNeZl$+A97OqEz}t@~@O&~rxU%E^!*9IxU{gK` zl;)i&T7woJvd+3^OVHjpqs!Tfyw2P1#elQSrOfdQ{?{3=9b?hE61~nHI_Sdk92!7? zOuf%MTNnRGfQ$vakUv5Z+Ub6B<08VC2z5%ExA`VsQvUAbXLOCg@8egSlsXts5huEb znPT}3(@p~1N>XJmw2k{tyu6;ouMrkZAC+i#cDQUSm_3Xu{GiGYU1+9_#RJ%9UT|ps znH;#~!uIIP$aLKa9!BVtB3x<#&hoA8jQi9CD3VZtOvV1SFGIE*`MwQC9i>zE1dNO= zev0+_Am$5-#zWm=UjrKf@0eBn|8-tI7+1t+F_MLUWfcM*1RUk=<{y`8nLGGH5dsKdZkbI%8>NnZuuq0jhOg!8Yk~+|jgk>h*#< zB(S|AzbJQV4m&Vc7Twwv^f6?*Wxq-`0!#Q8)ijI77C2siY49ke`56yR0`#qDEQ7vs zFE7l0d^!t!!o7chyk?>`tPamucixA){?1v9=u(V?M=D({3|h?CRvqM(y&eu4h%?CR zVYDKLPgWV^^xY}Q^J}yc*bHM(jnEMjs18fwJ>?UVoSJnhjN8i1fBEcN3>eB6cq4i+ zqH6l?SeLtxjT*sAvo05U>~e0nH%?WUrr+NjgL|8Wk5cHRsJw9?@qLz92Mcb2L)IORBf?`0JDIs6UPyEB%Rhsd@@s)5{GCp8DDfyLn zi9UxitIY3nYVw{rn>i8_A%F?samSUt|L*;!<7LH-6iEAbJfe9n^IqVpu)fVY$#t_v zcK)X+QZz35m^@@eg6=(5eFCx(6giim(z@i=tX9Y;tGbUSe3COEeo`06;!xxz5Re*K z=CAjK;k|tzv}&iU?G>|^3t6pax|bC`a|<7jrq~NQ4*me9UXTG(P^>;}=a8KC#JZR0PY@d-E)fe zsH=}{+KdsbVA>%MAZvEfMcf*jYw}z;S?h{&qXIPm(5bpgcR~dv&f#FD_jfV}l0#VD zBz8_1KKli32HB4Q?UM}Ga=)N7lDF6V1gO`+)a1JQ;I49J+X;!)M9!x& z!Ekxtc7m@1R2LfxQUe57pq2rQB;|h06WYUH6BYugR~4e|5Uc;sLwtmnVRdDbt8FWp zs3&t2&`Bj#M3YJ<4j^OG#{Zg6Oe-r7ua+sVsxZxAN8W2Y@5F0sy{S`YRq;W-egmPZ zpMBj~K`Wrs&a9RvtCEqyjqbC;w_?nb)v)IR3gakQM74%nP3BUr@Su<dd;9`Vhs*+sv^j7s4VbCh zKYjJ@>JDYj-ClRoDI8z|6cBs-LTpkSM`v@u@GAR4X*wFIeyPuCr~lqi(qDbYK`A#@ zB_k_xV4{tPjT2M)`!p8nLE6XBo%|9mWuj&!zT5ladYrvcd-D$yKU?S5bcG~;9#``T zp}+jzAOq~ZsF2{5sljvp1asSKH@W9iz8~MmCmuJl2BX@k14G6};NW}2G1pgeP?D$6 zMX=oFdmyrp4(tOc!M3P^qn)qKm5vIe+UOY_Ydhdu4$=$q!oAQ>Re%ypH*TL9pvN~Y z38;W>xI7FSO6nu&u^#k-Ex^`{w6k_VY-*nifXU7IXUg^yCO&a5yf&WgjVJL=+E0 zxb3#1LFr`Pq)fYHpMJ4ZD5yN?*sy2SDCb?f0rd9>fBJ7^^fwJO%&Ww`tA2)i|Zys`l8^=H#yapqXv!N6i9f7Q^G z+O#aqNc69)>^T^@lp@v1LnD1g^M9u`NGIuXPo*IRvk{2T!(O3w4ksS-uD* z21vN5gQCUtBFi3%%y>3E2$IOg2je2eudkSV7pb<*N1MjKUtnWwUM}-UmaZZ zRXr0TJfNBnthe#FqZ_EW#Lm<U zU9o2VJao%V77(hb0AHM^d6R2lDBT+(&k%BUh76-ub z66s}^8;6bIWu<(y`E#YX1E^ddPV6QRRI{jkQQx|&J%CbwOt_li{Y3sN)z~ahJ+W4= z+peJ%ItzFeuh&JNp0!5;Ff<-C8)5H(0wQXlmK5()M-}`Z(@9N=MA*6i8c|c$7D+?zH z4j@?*L4kiKF{gv0siNZgA})#4=Hgc5_~=^TQh?u$HtbByBiL-}U?JnL3^U!gC(?Nl zuxwLKgg3S+O}k-=CGb%*{tcjPKH}slf%7HZ#6AoO{DY_FNc$s;wl7dNVL3(DF*@7z z0+S1ztPS%}f|EZ@gg{A~26oXhV5b=Tjy5qU7}OkG*SpbmuCwq$kNpqvT?UX^Uszut z&=i@1WK!6OHFa55x$l_*t|J{ES!Z{{LA43cj>FNfU0&zGHrjOvn?P0XT~tFv)F za`AIA%nlP)JiO;#<}9b_h8R7QgAT5vo5VeX{m{VXClS3^3RP{!pSg9Jiw@d5{&D{y zd;gv&OLZY?%GUU(l@U5bawzg;nPqq0`&`x_#nfZ2!k{}@Hz(hOs5f^dM`M$5^)dwZ z-KSWRr@^&%PT}9}pK$8zB#&jE6`noM*07Q+)P!%%s|FTYdY~IGeJsVyY0~OhqD&qo z@Pk?yuStH9TK1K|HD3Ho|5>AX!;oa2D=*EZX-}P=q@(1RfS$6N3j@LNSO3v@Rr5Fo z&v^tx7aO4MCOXve0}Tp82HS>XdXIkK-3AU1cz>yB!1&PCeMjZ0{yFt$(@S_>fl?vT zdce)2-Sg+4Y)ulWVT?W;;Uy1xDfW#*-HT2KN%bppueW!i;AO?J(MA7`5|?a^CeE(a z`y;`5l<7wE}wR3sCKfH5BqRrG%8B5f^{z%+)gDe?DSS8fFy<+SJRmXU1CD28@>A4fhVM^_~7zUvM^?O z33^SZ!{1dJJpETBMSgr$=Fd_EN-Ru2v_URmW7A2$`Hcq&vLxAnXo2QAcvGNEF3 zEpGQm*{|RKlf&ST2Ht)5E~J3MJg#~e5Pr81yWEn$LpJ(&S`n5a$OKmQ+y4F@5#C1X zYk6W>eR~*N{&HDEp4esFtYFbgh%j7h=R4yUFR!^M)|{%@0;A4a?msKec{6N(7%B}{{U6MDHp(1+L@V5)?TF3J;3^6f z)QT+0`akfny%`%p)(n>Pvo6q~^0W&j-M~+h2pJZ}w%c6^NjO_+)8eBf>X8F5L3+(q zz+r1}Fi&;FJQfFi357A0SA3NM@Z7Gwxsewp8=dY??Q$cO>p(TNrce;8b|k|4lQD+Z zCph-GmLI@iS<|UG?n#C4dFD8$L5cen_ix1ae=YWNTj7wBA^u{EJmp9$R%tv_HOZ+w z$I{n@t#%nCbAX6zmW&E{&2z|(VMs+>+_wE)*mpef1OzI%wm@M>hopz51GBbj4dLE~%jf=^n)?R?! zwph7^|1ulcm+1x8)#9~W{WCcL=I=2AU?_n{g~HOz^$$VlpSHrwme%SETfX(WVMjzO5iQ-p;H_Iyo}~ zJp)QVl4<-C`QX2KHiQD+BSZgD9=Mcg01wY)uN=#Ol}3D)pn(h(`2^?>lW4ae=Z9t` zXik11692R3?vcAb>NUE^{D3y1*Rcz&WJM^cs=&RY`5xIC2mpD!#iRncDdBY8qA*-k^6PzR)~`M0-~=uxC>_U;ks&s3EpTU2Mb#?lnvyaL7z|Lj;O-|NrEbqcrQ=7#k^(E*Eu!INxn z@=1}$5~iTOGI%}+>?{2|&V)~E*@CsbBy5f#(e`CYJ>L9z*#q9&;+%Khy^|q>&d9gv zq~q=rXsp_6(*KVy{d15t0MBayRyNcn<#top)EsU)62b@xNy2Pr#e_~60M;L9Vuh$XP|>WS?9}BcAppM<_;=aWc=6R>LwZP?GL4S+#>>A6bR!Mh(h_u0zR78-p>qt zyYo5v-DiPxPp1R=oEi>(E}~dbI>FU_+Df78Lg&|S8ti(jPn>-i`+o95Nl+RKg9?0t z(a_`{z@^I`zy-~z%?VylSrPwvVMgF7ybkLFO-}KW@*@Jsjrk_@ykuIHKkoiJ5DJg% zk#f8Xe^(Y(DLKE!T@)PRbQV35bpimYpW75}csfboF#Q`jANJahX*PBqt)BnJB&JCu z$KBYE0Egb8l0raV)H9FKZfKTM_C?CqPF`JVfb9$QJ3v8W|Ef$r%?W|Kwg!A~MFEd4 zTHz?AD||cX?N|;W0X*lKIj-{fQqradGo<$KDFnw6189gBR6j+zIM#hEXuSEamFa~% zAZRIN3c>7)Ukt=RDfGV$3B_w1_ zw1WzCd*CC;*fklLcHCH99C<-jUA?zUUGyD%uM!DH|B^_FRzWFty!U%$%JUiVbk9#W zpZpN!*c{#m-x-S_qM9db;FeHU&i%7qTSPDm34?m5SGVMN+h7|_mrRFCn`(q?i0 zpgVSvtYH@TqT=zboi*@LJ>Dq=8LVaJ-Hx}7{4)P~JUeiinUqPJ&T>Pi1~$r`leuUm zSeTQ+mEZ$ypH;+hPIvo$?NCpm3rBR!0Vick!wj+?qbPpI+350cfL!CR1l#oQqetvt z*WmtDFr5halyNC7mU(61@3r5*(v2?%CLgabfr>V}R?$teyx}+FKz1Ryvi26>UC}yy zvW5SVJdnMgP>&rSYDaj$~ZkTw{s*7Jjf4lBf6JbhXJtuF z6qQL3-arx>v(v*}NYS%)Nf2A4erP;rH8uKx^qq|G!3)H0ptJU-ty$pg)l`$bcIw6S zP4E59!A4}~t9H{xQRh*-&`0CPBlt4;-=~8MkbiFiy3%kC`z+LrW=}*JDvhCvqaYMUsQ}7}-Ii z=FwrQ8(P=D|1fs7WBye``mbBj7>+byV!ixiiGvIA0b~Q+kcXw|{lj_++bTY9>{N9f zHCv@7ts`)rs1X&|MV8;A(F<4nfSD&Q3sXqNa}nQK4JQ)`OtlCwwhHk4gve(N|J zmptzr=4X}aJP&WmfR-XOaHw`Pcy`PVu4*A5xKR8eBXN`$7o4a>N7`F}zcC?Ia1AeP z&Qw_=dZq_x4Zi%Hz7)vpO{|MKKyj_rk&5k6u=gz(37rC_|7g0EMneJ%U!@pohgzj_ zX0>ac^CfGe2m!Ur-gEyy3sB}5bpr&IMPWBaNTN;#hWdN}>kF>}AkM4VuY3aFPFXs`!?N9Tx2esZy(^bbMFyWd}#ro#kWCC zgyQYMhZywpC@HFJaO9m~|IZRMc(e zLcCFAP!d1uKQe=*DRV@J?a~|0-6@N=#W9LQcRjXZH7;1%@bo|xj2fn7_A&fdLjwq- z4KEc3YQ#q8zuk_v-~EFR$+e@s=1y7cp=FK?8!P}TcIj|EA((?VHWj+H(Z-(!qD3(i zzMO7~+g!{6;e})2Uu0FJyx?hLw%CQZD{droxJVEz;7PLM2roXF4iJA*9 zL(4ObYW!RiHes>i=N`{*Z(k-aemUD~Mhl(;bglkckcEo@{>6MEOCt7ly%Y_O+uT7} z@r=FP42eFbJN|xK|B2cj3``z&ge#=ZaU&nD-r)0WUsr!v_CI%=Ju|F4=FO zV4y6Ge+LN3q^smni53yAwf)T7>s6e5=bH!rB5T$gKv!d;@$zyH%q_K7;CR%B<=R8@ z--14L!owS+erDUJ-_xuDdj8#`Rdn~noA=!^6ha1uofZyS+^k6eQv55vKBfPLz$5SO z!SQ~`9Xl1q-FIuDSC?5Y75tk^3HCbek7ei_Z620duc8-c{9+}=2jK3b?32jh%h~rE zYLH{9XUK^#10nr7SpS{!Hm4H@-wrmswo1`rdW+Z%`XPi;fn6vARtVoa;6Fp=t}Hrz z^yt|u`;zbUTF|{EzuGmHRv*IYUiDTqKeq>V2=vf33YeBbj0`g@;j zgS5RcpEWy!O7>N0^u%)gk3Y!Lg zKcr#}x^**C*;N?ItKD+4+-%F>d~ikk7qN ziTp1$F{YQx=+#OiD%8hris9x;V>~0_nxC?Py9Ydq{ZX^Sq!spXGYc<3Jj7g9>mqkB zpiq@+<#yzf`)@1c-9G%IBiP5zzZ1qHvh~;6w#ED0O>k;Y-{N6Gua=i-MHk_=Ujgiz zYQpM@wvM(^kNDUBQSx3MARHoo50~&?$9x2NRpr{-xvPMyi()%i5mqQ;j z%6|eGt8(nxb!8%2h2r-FFDq`P>m7s(&L8y{j(+$JqOD|gS*jVL1ZmONGuXWXQ-@n? zkz_nVw+&Za#T4iI6fEI`!>0A>8Y1ZJ&IHS5g|kaf>FqrN(7J`XCYtvC=?&!h;gB2i zPX5g6NWL*oYw!aIv4`SVzn?Fb1{WOb&&DZuh#Gcl{EG`4SceN|0{$8wJ}AZxC;n8e zQYxGVzA@+V4^RQoS*^9|voI7B0tvQB%y{hJ55@WNe)y^OtWQ+nVL$2HULfhnB5wp@ zTb_v*CG-G({2P(qfSR9D#rt}I_+!^X#E(E>;Z_7d*W=n6oIJaQUj(O(u$z~G=IlzG z=sylB;!OTPvG)gI)T@`9>?k9HDCrq?1?aQxbZ30o)(@nCv`nx!ir?eoneX&ac?>T3 zd6TfpR+$D^kl1?#60yownx36kE$BQPZGZ?7s-Z1a-TPs}G1VvzhFM#Nfe-kV(Qzal zK*oSm?fs?J^hMA0xM2}6KXFol5T2^PHA;t~i>*s*%~`#AdY!L6?z^@czIQs!1^XB> z|LiGu+9!Tt0av&gy_QhK`&C;NRJY5EO6(m$m@gjXEO6q!@zk#a!@w@0SUu0H;! zhmnD|;s7tbz_UWefSZ$xYd||90=dy}nsrrO`A@-6%&B;79Of!|TdtAc?2CEC6w|>s zObTR?kxg+rRFgqRSucy73b@M@jBc(CKLm(1<(>fS@|9{Xf5&2K6BKTZ)w1!wr zBc9Bogl#>UIlB&x6j!rpkg%p$ed9>Ra2csANO03}ZlPj))4NJ~46WbVmso;A*auCo z8yf?IVo(?U4(;CuOYln2?k-YU9T?B^A!W9D@Xg*1F*{fRGICi{8Zz&)0s>AzC+{=3 z#CaGqd4;IPv`1Q=H*w!*H?Vfq(6IuqL&UXp%*9aV;$}wQAzo~}@E5%&z2J=(-M&vm z_|Nb@`7-pXv3GRc4nTfS8a@`a?$URg!d?zPD&aYtnD?rEWwAp6bnu{8;XNIspMLfa z3Z2Hwdj%D7511hFr*8b6-3;Yz@u!5%Lqk~?xFU%d`IyL+dZNf7wIYZyD?-`Pkj|@v z!j0GWJ>5zoU#)OcR6g%f?Qc`kf?oVffU#(l`v)vf?$8-(QKQk^bu&=WP5D-4VnzKG zu*vn*-L_#XI56o<@v5s6bODSTWjnaQLMI!K6cE(9au{F}`YhDZ%?sy*_gOl0r_o1dT_ed5NENfb9{% z%z5N`RYz#bp5Xqdtjj|`d!FhTpp-BzpMsDuiFn5`K&#B91@a%-`IPlP8We6AsBtkR z%!ZB4J{;ow%j;i_j}L(zwnu@OGC-F6delm94wht%Uq@+@pcZjr?d~@OVyyur0XwfR zg!ggcS45yk1e(r!nwM@SO&d zrkdk+Nvs}i5Hwl(a)Z{xZ-bZC;v!h#f2BRXgvEt}IW0R~+8Q_T?pNs)YU8^rk3S0T zY)kf3^F-7sEm_g_(HXueFi^l>tZ7_cL{0yL6GT7xqt~nRSil;GlA2&is@>Hm-#5LcbJ1r&34}b!7}cW zxicpGP)hM?f=qIIQ39y#(05>~^DR?pOOWF03zZ-rwmt)Dz_tWoU|JqOb@G8C7K}1k zxh6QdZmBPOdccE}a)DxiV(96Tbp!)I7h0d)PL`d@IrQ^b2w_z?wfip#0$k{=Zm`Wt z^%0N;D96EIvkQzFpE|f4+D-B#-D>>VI%PaJX6n-30{}{*4U_jop%c&!Q ziv*7MkKku6_u9#!IdZiYKxBTh^D%f9xZiT)6aLHfbj}|z${F%)KPc2iJ7-ifp8C&D zF8ZWdfJGc*mJiaZC520#cnFV4i~0HeQM~78!!@h@lc}@c+;JUO z)nj@iToiBN5BQrovt@5jhhibAi_GOND1JCm6(b(NlkB)jVp$!)BV{K>jJ}6rhnsJ! z(E2bxg`F+H*ErZg;HGKbae6?mcY_(^)^>KQ=QMiKxv-eFjgP4`7=BPQ*>>$dqxO33 zcg-xiR=ESUO^C0&d%87C;^*5+Q33|sGGwrH*xd(DP;LXSacZZh z1sr>I7a!(QB2Wwf3Waxu6dG)ZRX@|l7+SHcBfC<1-SSb^UMq?a5#a1&wa*i~if}IL zzvJUU6dYTXuWqbJkrknA4Fs^ z1*zYO2HkZYActvn!}$QXzeN51YQqN$ZdQ;AAp0EEbSzugOG@LByPa8`8ZRbbgyN>Y zY76D)yd_FXX)U>Y7e)Iby$;e=(26i(LPszTfa1YDRUCeo4^_Rg9gtC9r<&((8sV7O z$%7PkFB`Y}I-D zbsWx~JWrnlDEwwwM~@l?-c+fn$Z8q1yLY)i8y68Rxjx7l+No?kLu2U(1uDMoY|OxN zx6m@EMI0;8cMj1Jf)Mh@*B1HdzjKY?V4AO&@|@x9rSHpn*7)3M*Fal@_4sPG=*jCR zxG%)JsT;BOZ&FKFtjO5LM$iv3n&1W$zE8Kr(u@M=>0m2KZsUp3#M#&1kn0Py0oX17 zo7a;MM2L?5P(WhYMHwYM+#e>{n>B%WqJWp9>erC^F`7ARA?A)!&Mi6dv0u<{QzZH2 z_?YxeUlf3p|7o;>p-V-iUwE=&_M|D#xoRw}I+*`^JmA|(fNYaeQw2^zwt4qZb1gr3 zSEEMD3bE+-I8#nH@i0#2jhzi_K^$iZc$7&C_yv?MT}&Lf%H1X7-X;354ON1>j)ILH ztuI%x%?K^w7Px7h!4E4H!q4yj`7<>5byH9SjH47;icRH%Qychy?4O);_>eb?NdUo(C34lD~ps~A=-k~5z?l}J2=4$_aSZkaG^Z0qp5(HX9M5D)lc|wJa`P9 z2ig5N9TeUOK*Ki{L4VeXzqNX|t&gNeKC*zpg0nf-{&3nZOZ~Vkmysk{_F-x~ebK%k z%a3)Y{GjM!qOtFK%z^Y*IATuI?V?QgbZzbKQyA{xV)-a3ASo=}dPb1%Kd%Sq0v*0( zcsM{DT0HBi8;}ha>`!cH3wKu!%LdWYLN@RJ4!%FK>uOKVksBTWQkH9GG2syxa(yF! zGT?R=iEW63nUgIu&$Vsk-uI63qfb3GAsUESjl9{=AJDfW+uOU@bR^gHZ*<-NMQTj7 z$Lu2(cH5O2$=_u8IZnoO(YkISMUR5FbjzGG_L%9;GZP15Mc*K%AHrXR25{`QL5zQs zx;SWIR0(2)9Y+q=-YVv|p<-Ioh(vpd0T;IV8yOc9F0mYPN#3Y2=zN+WMf>R;Y~My# z`MgE5Jpwt~Jc4JIDyPzM7czJi`Cn^j+c(nX#(V9ln)-bG)8%{Di&o!}z^0kyeZlq? zh2}L?`IG|i``2NfiLmlrPmuU|7Ql|P5^WGg@ukP2f2J_pX!N413gkQr%-tiS1VeyqTmDinm zX0^KHRCv^oPr-NgbPQ#?7^SsVYn5Ic=lgz{jdGTz9~>)nOd%ZsVkK!y^RV3KwW;+5>l zqT5Yq>H>D~MiXXLbp9wfPl1^=oYr)WD%NZFmvrNWN(RkxKYri_#3RcWq)RpEMtJ6k z@J7mG-bEp+YB;QY*i@2DQ#H;BbQwJS`-hzhGMYr{V|8y|@}Db(CzRt|rO!sKmsm*d zc9M5^WTTb5CVy`TX`jh;J7nqEjwseYZ1|V1r02USS6%<5)$Du`=@0``QVQ-6nbczP zS~IYq?K@A`{rl!8L8cgdgWgA%Edp*pjqo}Vk#^fdzOShqsnv3D{z(FCF4u}# z^^N&Cvx+F?GVE$+tW4mFPfOMrYifPTWxuw>z#CiAYHw3QA^^V-?%)|o(^VXgdw3!$`|7LzH*Q;CKbEaS8>n|kpFZXzQ+ zO(}OaUE09UR>=J=`o&ZTq%_GN^Wh}GiQ@0>_b;BMuKsOdkTASq(l`Wo;50dWh=&9B zy$gvLNJxj)Q9}$ihMb&{N~ zd_}rz#5<%1#%}OmAOUa#&#Jo3%~gKs0o&brQ8=TGIH&-o8Pxhu7TXKT@Kow>0;GK{ ziD)ui+-4u*3|V@)>DWDoHm(#x7yQD#K69>U&MR)#8l|sADph2PV1Rx5Ef%~804m;3 zKl?2l^hdL2@3MOSpid$(&(A|k4(aIB-?z1;;mUjOjp;B4eS4Wgwm=!NYljyvZ-0bd zkxZJyC5dG0l8b`MU0OqOC|_*WRV8KC&Wo?|*5w4>f6nO>oqBGY+x!F6j|kS_fJT#~ zLHV~5@gGM{hxuS|a;Uap;eN$OHu|EFS6BB{v;B1T3zRRe{r10G7$W{B@$SOg;HIb4 z*Ea|k>>Jk+0=L=ip_v#mufp5;zk0H<0oa1<{k){oT=M&y#j3TJ zR-p^$FDw%;cgEd%58KLpfmne4!f1ZMSoodE)Qm3nO3&uUW9SSPmw#oh^O|UTp5Nst z1vnegI)&!^+l^*DOhdsw904Sn=k?iGdz;QY_BIAC{s`3w9IUf`(ifExZJa`*EtkKI z=Fj0-Zk!QTS(-hBPFNO8P${E#uh!CAf1e-bJv&(a#5i00F2c)G!eiIwKp*6t$S1_3 z4!rWgZ9m>x7pIx2Ec%Nk{+%33-0N)y&yl6A;|Xp;wT(SL2|Hy+s5A`timkJ*6Fm__ zheQ^#{OjkN?{FlgG^wUoFvcqgKkIdR<5Bj$O8JEhBPg}fuoq$gGd#p(F3+Wj&*;DUx}9TW~owtuQFd?mtv5H zsx)B_q*__RRWDe#u#D6GG8voaN|Yp9W*-UYoJRe8riA@^5ij1A{oKE}erwei z@)0lN;Q)ROH;K~bzA{aVEjQQRuamk6WhFFuC2>A+J4Ple`S z(@FH3V6^l+MG@IA|D1n?JZrS$J9m*r=d$BDznQc=H%qJp^koTRVO}nCSQ3&+8@#IC@Nv@`hLY?0?uX%n`JugT2Zar znPi%MGZ18danUVAcX?B=+^`|-j%s_(jd<^Ob$JOk$*O1ny za6g|A>ut=G^rgwMQ^Ze^n$G$4baonId0G3bCW(NWo^KK%R%%Ysuj3Q}JTa|`-mB}R zY&8djRi+2BlerB#Cx0eMmNPhA*FQl(8McTf$And8mvq)wQnk#_J$FlVkzk5IV@O%+ z9@`%tqunXpVM)PR$$1qcTY=Evy1%o}GD>eK{L(EGLrhy2+|NhCg<^RM69M^hmPs-B zY<46mwxU!+-pLN+_)5Q8qEB{m7?cI6DCKsTO+6f$b7IjZ(~qRiqLQRr=cSG@ipq35 z>VVjzJ@sBuO)~w@J1>2}GH1G}OzRBaZAS&6dkaDQe@*7&Ort5E_K_PKb_V#9F^%9a z)NIMzgpI@mb99R{+;yTrKbCcmw_kWL{<}WUBt6)LLL(rG|2<5BRn@>&C?_UF%&_P; zC{fd-=3SZyLs-p~`kOF}6zJS~Y>C^eaF?%VN@1${wojlSD&I*j8$af}C zV5_}>5vZNdF0engl!887L&)>|uTL&(A~QG;-IK=iv4?p8ngo0`Lshc}JFVHdo=;S zgwLPftz;6Dhj^^DobaYCiD6>(m>725#1@sagusXUppR7;n4g`CNXuuHp0I0 zIO~JrWO)KmUY8`Bm&eJ3TVzVYbdA9`F&p+9E$b>-6$8tk^27k!|9s2KYOjBjH933dO!K^EiLA+im-Y380?S0riZ%C7xcjA6xZwp?dA?Tmf zOJZFDNgu_Zv@z}y-~ixdZNTE+ca6cZy4kd)8bSgXW`}}KNZ16D(%ElhNGXPtu#<1(ZD`a z1{`C&z=Q({t4A~6U?DV}HqU2o9cW?esc&^9;peT}Zb3QybUi@pw%Gm?K>G)!J>A!R znC&|vAkw$a5C>g&1Vw;?Oxa>UA-C>KpvhJN?)gHFJyxx&UYasCCFbF3X zVFqNdyvWP>dw&FV)K|jVfAwJr^S#i!Z^?2B92;=FcWvF2DauROT5_IV)<)nBGHO=l>0ivN-OP4()%EsFH(~R58i3lRlD`g z67#5uBEA1gEj`RH5N`J{4_2dPP2PT*`=sF_~K1CZn|YvL&WYKhJQ0(!B!K%XWS$!q{P2lcf#*(dZ0&za_E`H^jq4D__`O!&^w$56S7=Jfd`>Sk}Qb_9S@${DULS#J9D zuiM|AF|<=$;Q1R;0FX~6r>B7S@U%mQL4|ExCQwE90{a1Un=G)A8JP`Z6GzX9OL9i` zNbU@H8vUl-yKX{W zx@^w*Gw0FlxdPOaf}nVvC)$rOqqFDr&fB|xY5H~ou43a(y7Z3>a=S$h=r<&hZ@SE$ z8_4C7G{1KJKMSDW;#gh%^5dsid8H>b%bss%!cbkHy*}tjSF~CF=5)1<#yoFFpy?C{ zH6F8ObzaQgUOLyP}()H+93bfv6i-(u4qMForR0mIP zG|*%}ZXZO#a!EuLRp;ayy^WftBr?Y>dCd^Mz*6`Q2}Y=AgP>MEawlwi`lzBG2qLu$ z6XS|MJp7JYBVf@!*0DV?!==7gt!JH(*5bbYT#~g|6mFpP&q7N0I)^pYAA5=Vb=IOHDkl`{3S&LWR;0u$K?TkCJw(@~F z#CFSEsAHL%#JX5-lAZJKW!{zLn?Ep6SX6X6(?&NHwNI8qC+-gmu~_p<#B6s=H^$P~ z_7G=0NQjcU!zp9~^|*CaN$0R$3cliUb{O>@5oY|wg~dtlz&OIIAZ91~nrxuC2*~RN z*1{@RHAOJ)(6VI7q#Wj6m#NZ@6}3S&L)ko8IZTp8DXF+P9pV1%aE{C zK9emRW-->X-ASjC@1Fa@eB{AOrk`{vnyF2_)#9AO`4(WJsaSy|Z}#TVN$MoOc|b4E zmYC-}Tr<{-HYpz%*Z4w^h*;hg=kD^>{@SKOyTvgf3Tz?%hKgi9=a?!eRNbo;tP-Dz z64`+k7m0<#dsp)#+2gt^!wTQnWjGg9W5jXE(#M1piEM+DM0nR^p$V-Ge3(zU+|qyHK(R%V)+q_ zHvV4u^x$;erJuSeuTPsqw}2$Gi!mWd3@~(kv$ftv^%S5C>Lsj-jsky3<3R%WB7#~( z6--+i7>Z~tDUMbb#>Q zF8gT{Id!=Yo?#_JI5F&^q-vtDnB{1R%ybzL(PL}w| z!iL3jzgQz9?{GdBBao^`UL6T+7UO?<-$bVtp%*|fiA1`>LkV*%!?Ij7JI@zj93scT zoja007kIo7%G4e?wqa6Na$)6kGyU8w~u!I6hDA&QH_eTM&{K9*a#sLk7Nuf z*Y`Z_Tl&UJP{%#hm9<*rEKt5?zJU$?03Ahh*aX^dLxztT0J^wSII~ z_xTASKd)eP`n>+nSDBHrMM9YqG34lB{0%I@YKxd)W~>FwT%!Pa)Vf^yGKV(>BjjfC z3tzrk>S7ij$P%WcH*Ec2^6Ak$q_X6{hQp2T8?;?DGn4&nty{3CyCNJu9J$xp&+(VJ zovd5}XRT*OF9TbzWg~1>7!nIpVQK!?SOp??O4lN|QNaxtjqMkBoo#6SU&vEdDsZ%Z z2QN;#_`;s-_RCt!xX_j?+l{ocWqSl(8qAjaJ;r0p zJ2u7#vUW(_n<2%BBW(y4)jHMnc2uAGp!-G25y8C*v~iNm~L3#ncFQU>+7t0yPGBhl$rBnXSg%8Q(fRC zr4kPeTC_9MRX69S#R$W~&1xm;vKaf)XstozQTPLy3YjHYVl|l zyzSj*^~w1o*5N-9lN64#ib4dRbn%Sem>-G^x1j`;X2+0Ha7oOvbK148uTZ^#utap- zWeNmkpn5FGF^w{mWta_yQ+{+RgAnd+Wh;2Edx^Hu`o52?b~E`kCZp!7C`GHAN1d?f zVTTxlJ~JaW%)r1K=K9*^MQ#RPRZkTD)3iZRFIWc{egXic?ooin;n%6$%RUZ=V~du` zrA^?kgjnw+q703Jp<5=-7v(^FqCxH z(B0keo%>ne`qa$JDZ`2WCrp<-vI3(2eEsvy zAktM}vkiAWEAvX5v8lo?&fYhhdD5r>V9Gmw${qbHxX@!MdbT+CY0Q!N+{eVM`#_Hb z{216bE;_JTRYOAF>|%kgK=TyL4eN&VxXgDq6Hg8 z53u!_39j545X8S}j-~LdRa*&`jlW=Q|3z(+66pMs#_IioE1k?APmvgP^Y~_PnZZOWUzCd26 z?xB3ZC2zrQ)7!^4Ll#l+3G`1O1~Wx`S4!`VVI|=;@*Qj#wRh&L&qk$Hx;&x$JGuCj zf4IbtWfYR;KWax4fr|Lmpl+VkoVkV71XXX~XFe*oG^ZAlbEKeq5i*;y)9Yr0t~DJr zIH&UBu7{2bgX~%c2~*QFcLUZ$aqORYx_aBza<};G?^8{$KIuQ)KY5OO}|^?S2i?poZO}Q`nOSL=~i+?pKUc zPI6;3yqc@iHIy!FHpktGfEovP_i%jk?xY%QP5CV2*7uI_$EWi`*8YDeD z?!?o8`ImD}+|;VngM}y_S(&2Wq10z{02zE3*tgVyh1I1~+*C$7S1XPf)Gdos(lCEp zi;^6Ku`vv}M)$R4=0V-pKCDZ){BoD=p)1d-VdGM_XO9i7+(N5pZR3WGk7y?9k*_h^ zyWJ*f>7tMvR1(EZ(Q(3uV+#(N5cwSD5Ef=0Nz8Xf0mYu z&h=lm9Nnes3G#78%eJ4I&w_v}K+UKG4K+mPZhW$R)u0p6rJGd|xwZpjw{J<7@V%9= zBcZj1ntCT-s+gsh{@qFj@4hPkzPbc#iK!Du#JG9AMjkLUW3-empP~7;?Y$o%?Q*=RN(X=F(zEGl% z?_=_@eGlmmSG= z=x`odPWv~gUaO^(h9`wq@=}RSQ;36V$0g|;GkTd}6Jl#zD;50MC?E7)llwLmW_Df< zY=BP_0&?iMeFdg%C*k^45~!qB@KeRT6!ScuNp6x=JK90`f03J+>9%vRe82Wp!S7a+ ze~u`UmQa(@YPFi(=gmdow&P~8=eJVq?4hQaBSl8Z^tIgEYJKZ$p0pHh$z$*DL7~CFxkA2kk*Zh7O~8vdUf?U# zbkRhbG-`G@UtohFhW}+)?iZNe!G*;n03W;<0C5R08(>*M2aU(wwBL|DUwZf_Of3Qk z=F4&N*(s$_VX>9V{rCngTD@1^S=vZwT4gAIC6YjBjPEJ_VTR9}mp$*OQ(_IGs}sQWSfn$Abcf3;j-&y=(e| zA>JJ1#&79iwbjJY9t_O6FBrN}*^BJf`Z^t&tM*1S-=Aq9^GHQFm=f5>y0+a-UU}?H zXEIAc-=cY&C1UP6nRQV-*HJ=|?Uyrv*HBNaCf$HQnwiJf@zIKtUt&$Kr2x+cP=6d_wH zFJ5K)5QrnQw2`EJ)u^dEM6z|+6g(DXNZ3<~mG?p=U*Xe!bF>UJ5Of5ib(|tXQIfJa z4@=df+1`IH81hDwlqlIyD^MIJvQccjQY$#m*5bi$mE_kK)S#$V6=dYqTy`F1qq zxgJ}{7=9>?Qheby=qVBVgxaxKNj6-HK6ks|dD-qofr?7%7XKuqq#S&aZRd4tx$yZ+ zqcQAnA}rfN{!#<~kjpqJFsia*eMy6~%FCSc9vyO@%b{saR1hQc8txaWG5vaZ^S)dv z(rhED*X*t6V(uFc=(YN;)pNqbD5F3$?5VlFyT5+YdJ=siht5G-S^4d_fhwObMuSC89+%`Tt7Ue}eJ+(J=z>MjD0 zN0L^o^&Cy6?>BN6jqQU6H3fVwUq?Uobq6K^=$l`>`L?q{0oNO-MERG6?Y3U~{Oj0r zPyRl$HU2eQy4sNB-|#v2g~MN*yu2#{Z`c{*Zf6+p^`uTh#9^CXd)fLIlyIjN&0!)c zcU^%=aR&Lh4xhJV@bJiNODzdquD<4P_Y(_IXg*f#@WoyKNcrlp@eAK_-=#-kln7Dt zDjD4@beZmMqfJ__wa@dl^d$!aMt6wvA0&5)nIOoB_a6A6kZz-ZbXjcgwqOLU9_?3h zJqgHdS7qzg0HYwWM~DwIAq}tHrvx+hD2gA-#H{kh-tKeDx$8_w8dj_3wC%dRVn>Et zG^c`hw?CW+4*ec{MsPL%`lP=|TRAIzV?w=+J-|a@=V~oukKOs)##^}XysK)A1a-d) zT<9zQvv$Vrpo`Q2;Rr``Uej`+*Lp{G1d#x|%RC!4IBz(Xox!|(!!P-{rbS513;W^3 zPf&06bX#MI*Aw;SFsO3X|8@`y=!q%ovz%tZJLIo|ZqsSL4n&5$6O%Qy4dZ!R$>y#L zJRIK+s}5oP4>A?Y)*GklvcUN4ICMQwCD+-3C7?JuvU;i>iYwF&1$E8%$KGSY8DKQ?!w<{-sGf;I zV_Xt^bkg*DaKK04U*@GOOm=kshvRPR0JYRLs_ODz;b}r^<%_RXj(H!{p^_*B4Dzc> zoTO8EpcnhzL5rXzRpn6qQ?6$UI4%GIR$gGmUfHu3-rpnX=x#=Ss?4Ei*x>Q zK=5&)a%r9JYh)lN3Y~{S&a}13_I#>}k#BY&Oq|@5+LXhQP_m~O_%UaC#64ZF*}~gT z-px~8e?jCum)s5 zeWdiv1HyQkV%1q81P}CSQEb&mkZy8xrb9@C>{3xaS@;*>AL?=|x~+hCwpde{Rt1fP z5&iKqb951H*(rS9ek9c5oB?_Rt;TBF5mVMnCS)8&au~T?=`l64>l4Trppi9q?WP@$ zI(rwhyx#B#S-y=1{qtgcy1diQ>sQB*?@lZFDBEl*8^?b6_Or|-#?j!$%+UCl{h%ss zme+=2ulBYoZ5LJAdM%gD!W=gt4LFw&zOMJv!!C2n(ol5xo=CGakqpjL8@~=?(%%@C zM5yp>x{-SDTDK3TPVI|&%1D>0&}uXHr0Wzi-Yz`}gZxX&XPS*o1eHZWl7Ndxf+ChC zw~-^-F*4>t1SVt{x#l4kU!&=Meg_Uh43#@-9@g$ zZ+6Vh;D#zwQ-o>=0rYSg@(-!;DtGACCk9bCHRQj2_a}bu{O?+APd6`<@Idxbu`dK! zf8$Z%Z$Q5gzg`vvE&lFKlQ(@*0&!3e`*@&Ej*d9$45A7gI$!wYU8J(6Hk@0m8?uc8 zM4h&_ZpTI zW+Mf$={T!=osc~Z&9#W7{7BDgFXCN5GbazGIvz*EIYf)V?|^-lLv(+HuZ)R*&cY|{ z@@Iu~5Zh%{q`r6Ymet{zJE2j(A8EU;14(&4A55lijEuMsOR_r$Xrq)znDr0@{t%*I zMAbWMWd5ey7}@r|yogzgeh?*JXnCo4BBCUAIk_WUVAapE3GSR?^KcsMT1U$CUVDWt z?3s;bNn;F7t@X6{*o?CB87;*2&JI_IPUSWb!K(#8&7Qn({`;o#O}!0r#_!$8z!?QS zdLeBp+ng>!Q?456m|_GWh# zQ+JIf*}O@>uY%fDJ$`c3xDn>7WNQgLpy2KAln8ian9&k!E@f>_6^5Oz%4&~q`nx~^ zk+jmqV%jbYpnmSiBX)DY6iMaveG{?3^ll|H56c*~n0Ym5)K38(?h1VVHrPkp_WXOs zOGoA1S0?{)0zmpAJsLp*ph>acS7ko-5x0ZW;L22N9egLtZ&B_wENz0=w>8|Ves`X`o8G&nH?y% zo)ttJ00ud7AYv2w+HEyQB-+pv29N=oLk3yD~gqh(03-S_FDkOiK_w z;YEu(S|qrvb!?0phYaUOcFt16Go2nA;pi>HmFp zV2@a)94#0~rL|TsZg=fqG|#q2f@yzC!nRVx|H1NR6}j&M*%LS#wF11^6FlTRiL{}q zKqJUlK(TSukT`&>NDgmH`Ux_V&qE~mOvW_HjZht{j{|=Mu|s(c8Z+L5<{hFSc^23p zruehyuW^6dTftDo19SH6t%$y~bJ#i7Z;8)8ApjpW6evpi-l*ZdR~UpZgk?osz`Ki! za{E-X_OL{8FCdhwSq|r(0BvD=D^2y>zW$HL#=V9bcxdAMK7EjCeiA!@FP;;gl_6Yv z+$oegC7$V~XHObL3tNG_7v&F;`}fq5q3HUEMmnxrvUV$W*-aE=^fK*oK+=)R1NFrB zrZ?&W`J8GzZrxOL&RR4uK<#m$SMTQ8#LzT3;fKFb{;`s&%bhr~-d(#ZtuM=agc9gv z&~Jyr!HY$xGda$g&}1LTCsyJ6dSvirV4vz#q;{(exrA#G|Cp|R2epwegIJN(+afu% zWZbBb2FzT|#(>e=$(@#b!{y}u%oiD@T3DKYys)37X=w{%qnV+jAaEZ@7WH$h9l%g_ayGjz8Qg|+SIaOr6Z6Y`zPynAg36QRxIEC>$^da4(z)1 zvvl?92JN{)u#xs0L4eWgIzk8}OA8_wfYav%A;N?eKAtHleMUhtB zPJG1nJIJ0W{ibnfWUVl<@||_~ag+;p=r2lF>hCF#E&1p)8j0 z_Xr^X4mWz)t;}U&CIhd32=x~JQnFAUPXewl#?H=UdIO2$+gl01uk#_L{L)t%T2BuB z9mDn@Q69rFw7Pt7HyGZzU((rRzA946Ok`-_9%Sve#dwBYuFw5;#hm{a9V}aPtoQa| zB$sop_f~OdoXDAwa#*bWoy#al+`Bl$y%49o_Ya}+HLo#(o(^6J+FG&FfmYA;BKnCA zaJ72*0&L$znUSbCH>R~VSo#<~FI8y!9#t_jRXZNMb16(Mb2++riqUIU^DoOyp<(w3 zW{TrOER9IiJ^`L~SEVcpjbLm1Kxd3f^evzH=yY6itv?tToozjqjN$*d`y7X&GXTO| zFX3~2%OZ?4NNAjlyzlam^WVRb>}6|!aD7%hOM)LLQ_~$pL4?%SK7Qwl=#lkr=`o9I z!=E9HC)ST1fU|Mxq^Qsd zM01d+K!Wl675zTO^qLflk6LY{=8lHj1Sq6!aH~{iN80Xm(^=K|qpN_lrzu+KLqSvx zUrzJNp9MO`=>7HgJV6?MW`?u5D0&g$3ZBq<$Ho(xk+Rp&4N*s5>-G)HCii9pVq$0% zIEazDv*A2@{FEZO^JqfkI0|wi1f2C%4d-VpN*Oh8zW|dikMSlrHZG6?so|zR{;L<& z88&xziM10YjsF(jUhvs5>k2142NM~lx9OHONg+qeb`XusG%&d|BMhHfN!hP?l}b1U zp1jWb)~2D1XsaR(E{yI8J{vheU8WC(xV~>}yFGbW@y2d{DV7E_$@%#ilp;GpmCnP$ z=OEi+R0P|$TFtm1&zJ4dgWG4E%FFi6Q%yE}7O;@9o#ck{HzYxkGxpX?LHSobTQcuK zJNrHLFo(Y2(~x_LMgC+Ve(ksIV*bw9H@;^SOa8N>m^fJ8iHF77oz@pQ0f=LOyscYV zIAKXaNR$iv{E~&mDqp%~(s^N)WD0Hh?|Oc@u^oyj=JQ%GZV65t_JE2c%p$fmxwv}X zK;Sz%Kb19RJ#{jsoyIuF?+Myr)wZw{Cb8DW-^OomyuI8PR-A`;fm+UBkK#(sY8x!^ zprCg(i{(0_I*$$`87uEB=eAE55RAD8x<9`b-f3HeLpX2n9oh-vssjfFrG4{|q;ML( z%u>Pb)o(`3l9JCZW(sS&k6jFJ-?h{Cge-FL->to=BUl9AxAc+WZ?Kwco;SlD<__yx z#vxT-+r2l+^OHH^&ao1^d7l6c#~V}X;i_rR6}Yd!X-{{G7;jd;%V^;HDzdAjlYUt( zX7)T9ZnUbaZNz?KQ$+>IJN|WpX%M<|6I5HM`DH$&)K7*6e3yt+TG|0~#^*0%%iX^F zw~P9hf$Gs)EfX~=@cq>Xeibds!{)D){scB`yrRwo@sxt3ZIC3Jxq8tHp0Ka;f{9EW)cNbh5*QWAEih>Ytq2iME}k{h0Y zp;+G#{*ePMf5E|5RIj4<`OVWdbE=qN41g%!v)0hsZH1VltKZr;7TK#YG8XCVx9+$IbMK(vn@ z5T4}LhEQYkKl_Fi%mlZd(LGUIG#z?ipJ>ZnsCByj@^$?xd*^%P3b0Vj-?@TqbIP0s z_OLR;2sJXd@XJq4sc2F!xn;-70{Lq8!QV7~Br^TGlo5aPeaYs?tl#pDkQ}lFGPBK= z`ELOt1;V~AX|Qs{R}djP?{M>BN^4$hlpODCX0R*yl1y*W_pox73`<3~uTG>_p!cA_ zvf%bB`S7LSvjUp#kw#X{Ef?jja(%qFuW0GKjircNHL2;qLk-M{_-h%cp0*q1-WECZ z=qLS-iPNW79RfhAmmR<+Rnd&VM46Zg$bhEVV>@B@`m^C=T*)B7sB0#h#Wa*Vx-VSTj@LKT!M)f!lJN zqb>faV(L&+db!1l^x~00k8`{+vYwM#ALKmwI_mDy;S|%QSHJb53dsfK@`6lLjY*I7 zADYx%8h0XYi~&tqfxF@zpI{T4@tE$XsML9Dvd8PcV;CeHZ}f>iir;t?PhLm2l0jfu6!<5sD!GmL;NLI8NhgL~Z2H@8r=y`Tz ziTM^XrBpp?L3JSE7@KEA<;zE!NRxHJlmI=73#?&N9-=~cyiG)MpU!D>2DqmRs$UX5 z?=Y%Crd2(gvW9&?Rj(A(hQOtmp-#BU=!g!Epy#_NZEY6ETfJGj(xFHYb-D0CE&l$_ z==zlnvZ1dVwPTcoe|y8A0{-a3^RjkUA3QI~-+)3)-q+RG7cBWmH{^Q%SROS1z<{qX zjBo7KO+LPY0r~s{=jJ*GsjS+Hz20$kAoNLEvD&9kWa9Gc0)AbbAH~BiK-XQb)UO<@ zz3-O9nnF9Uiso_JsaS3V9Jtxj0Ms%r`9F#}`YXSHHOl9itMcmn!2kZe-}_z_WTm=W z&V-}(q}+5plt&J{9*1zz#sAS3J=w~=f$80_Tu(ZK)eqQ#u0C=gGW&9oh7Kc~RPEVdg2jYPyV-CR@wJ{ zZ54Cq&^q*_va~`|DV(grAMb+Prj#I&>TIQ>$;qDrF7pDqsWjDSe!RV7B3e1AkNghW z&fcmwY2Z1v%+b|gJUchj_T#OhY8^tT+7Fvvq7xtGg4s=x3oDd-D$pF=I%6O6{6ORA&}spgEuCoRL=Vmb{hQRp#3c z@6k0e-xFP7L6Oxgn>J)Sm_%;+-Ds1(4Hflwy{^w|_AX%gn~=;q#n%H;*s~+x+7b51 zTCqf7pWl8#byIYA$pS&QrNA@oSC<9Yi*7&{qC#n93}D#3(Jj3*zi#qzt&FS3taIxd z-#R=o+n_`XyM3vHGj=)t4G#TVUq|Ko6O+##oQRx9#F*v-!sO9@7{8XXeJU>#9X0N5 zRV5;7FE8~i$QXl)&KZmS6F_UHJr`U?^RS@W3(yV3E!^gk&6U#az1Q=^B|R1$Yal?d znKm^o3T)HG0;c&x_ilHyt`s2XySyK{P~Rnfh4LAo3>vlqmKyf?kY z#K)Q}pm%L@)r>Va#x4S%OEz8XJb$w2HREbE_|BCaO(ycQ(6Vrx%pOqx<_S^b9baF} zs9{{t?~Lo}ikD8pT-g7WtHQl}E+c*okePOMtdqw0;`;hNt5L5dkeZGW5y4E2Zr_Xg zj)I!pJ#=qZvOfJPw2WCxI*L!ZzqMS|ENJoiLKliglCr=XoI#n{^Y4%cNF$d8J!YP9 zP}1*Q)_U+YPU4A_e|Ii_DnL34Nvj!y>!a!lNt1X?eO|Zx@$imbfT5&PXMM!GM>75MR>pxvx* zA_XzqFam@HUUPJKOt?}Nr430idMR)-BO{NI{E;9b0T zoTg%H60$J~XoOX(G|8UIE2T)aZhz|YI#vRMG1!ksEmi!|Qjs_Yt1Lkw zjDPr*WZl38%JR)PGKN-TC?enJ#B%f|IS1xz{jNYo_Ywva?Vp=S%{ygoSu%MM_WwQyv6R8 zq$AkNUlukiNm>N3)dg|agSsqrx{<*}1(ijJC{@mW_@lsr3}`F71gCLGR6$izN5f%t z80V8(M4t@I#6$&w1D0K>>9Po>HY^1dUpVn(qS}l7lIHXAFRpaYt zj#A(DM@(-lds*k3UJol~2JM$F!s}>Gm{D=`gbIi_h-M$dj13|-L_K~|8FjY#Y z5rFrV1UA>-NZNn7rFfWvNf<_i&>hqbsO0w`6HRq56~0#RIxLtjk8GdGBbA}UFRAr% zPr|`aM}F-01s&D%rgIM~{scQoT8}4mm<*8a)G*GNul`i$+dn$K`i`j4*=u}U851SF z`jQ0dv=r%0>EVCX*C3<*We5^Um_3MU+{E(P|EiC6-*PlqLJr%}7Zk+?+L2{HE}P^R z;zgDB*~1w%z8BJ3Up3N?0`vqEN|PjSHt_8!<@8(Bx-tc05)UfHJxmn`$lU}KkR;Il z=$5t#nbypt5-ilRlBH#YY;MlI&@WyCTl=2-gr1@rH8lQmh#MJ+83&ZGNc3aj@KpgE zyvINYyV;on#tL|!!StqL1y<>6g|tRi@m8;qTf_rUnT7%J>v>HCWNCrUhwnfK z4Y}?1#TEPY+{XHyOBF;CKk(ezZOWy}J8qctZuUyE&&;IbPp0{6|6)!Z#0ahg-!o2P zv&!PnDR1%eCFc&50Teu$+KeL>_BviH-i2a33w3ahQ_+bH(( zbOg}!(G~HR>GKlGP)3_cZzZ){u3SjpfSmi)?#GK=RlxGp#)zDaA69txK@^lDA5a9b zqq{%+UE`RCM+XkL(bV#19T=g(HJM!Y9{WAz2TVMnO-f-NQL-EmJ591lPwQ-HHFv_!O?Rl=-aiV3Fs3DgIy;lH_L@Xs56CB{{~!C# z0$6CD7s^UNq<=aX;Nzvv>>@Uf{_MGm2zsG`C#=_C@+3DCd&fYAH^||d5>T3 zwj{9peA!QRnozNIv+ni<&Y`Vj;Zb)I6KRd#psjDn^h(2Pr{h75TavgO2o!e<)PU>k z^VMfPLiZv`Y-C=lKMRh<%j6=uCc%lp)eg3{I_~2~?&Gtw^qOjKNFA1lzcBA;sp1_! zwv{+DW7GPEgoZQ?CrU%~06k`i?ZdIK(iJKqW)$1t`2?#;ptV{S0wPJ#K7}nEMm2_a5 z?4M)J?;slgBT2|l)}C*Mrd983Qk#9z7abM|?nOjDMOTkI0Swio@GFmo)e*q7<)@Cj z2*2@5cVJ(=Tt`~SKmk4lJRIB$q9~Ldz|pZ5Evm8}xZ+E^apJwPXt5H>a~E3+44zs?TS>^ksf8dq93@hC6J7uwA6sVX?!-cq^7D!B?U>kyyI4J zQH9cxdDL9N-K#nZum-$+KGZ{V>JwXu8X(6lA{G;-8M!M35j)4@%1$QsiyfsXY(F+d z51hIX#fQ}ZzassIB2Pyeas2qWRt?L9RqJ=Iz1)NTa1y4r>yNXa)Q-1zBIF%J3RR}! zq+nW~wNRz@|H(_5lx5vH34YG(r_PN+9WlvQ&S8|8#^uq3nnkAKmya7VOKSUW^})0Q~dgK`1MZo!O$A{ z!FziahTSMeT5tT>va@Txk5DT|Cva)rsS>Tmx&&(ic2M4DtBBvRW6UUy*dVd&_nT>2 zE_<_XLK|cUeT!T4(7n6U?P-r;1d9B%;nLe~<$6x2CvT251!xf&#F)1JtL9W;+gNx8 zw%ByxJf}rtve@XW%C(O(l=-!sWBFO;=RJ zgEbhoI%2B6QpvE@s>NoTM{|7hu;6QJBJs6lGUA}K_Jzfqwp)u{g_;ed3Z|OgluT6J8$`bxC1I*?90}E>D0s2k11KSlu8%A^s^b! z`=(iz1}H39?k8vE7vdQ-`NO-O(pwxOoyR8Pj@&6C7S5}*%Jr3(vyaz4>(dz9?9BvP zS%MM!!js&g{Ew9#TPc`tpa1+N=y|-ZjTmUac98b>7vpnS`lYKXSvuKO_&isU_>R#4cMv-8jeAFhb}SOy+kQ~ZT$$Myn8WB##bP#T1fE~0uI+}`q? zD<~pz0MI@U!OXgJ_!KJ!gTGIuK4268X^5TWE^#10sO0y36cG+k!zf#fG%CsgU!bcOzDnV%l4p^$M(Nu8uyT`T^G0LV6{iQRii24K+L_k_A3 zI*5R&VT+#!`ByoB;5+x!Jt_E)RWfRQkVV8X%r7{~ zDe!@NMgaRrKlP@?;d94Kcv__XzFzo}6xyRFM>ot;n_G0IWGB9xMX-5la8|*>?{>eL z$|sSP!W1he*k8Q&#k`6}H=^T3jc(n{^&)7q#^=Ht&|-=6e@U1b4$Bk}L}Nam2JEw; zE9gL$*;pnb!@lZ(G}ce4J=Jm$EliyL{NO)q{JaUx$?egzkzq>K^o)J}w@qjaL;&w^>_kJ5O(Rr~c_H9OCLVKn*zxz=;PUd}H7 z#!QhcFxJa+3?ZlAq$e+<pr9^%Kdx)C_81l_Do0&#aoxa9Pw6Z4}vfm3@qt4a#`%pb?O;yhJ50v7O$B2%pEk(AGMK>i4m#X9S!Y9{w~4Uxwg z?Z037qbv@Qf*x?xI9`L~XqP-+!MHM)&cR^DHE1ZF$ODXCZFB71HVEcwLW?=AnA|KUS{RSvNTfZ(YF5$QGu;VA6trto|-f zBO6%q5G|Wr#}Ck;adB+WxL%MWxLpV%@GJ?+jHRmYZzA=w%RP^|l0;-}^JaPEO^>C6 z<6>|UM%YyViUGpgjb-j~QcyNm0X#p)>u^U{yW5rR==5 zT+)we|BhHXY~2|GxK^n+C&Xfv=7 z2zG0@hP)q_AG~=i#ud1ndbi}c=)mHtdpinfvVg$2voB#AJ=@uFykK9(G)33mSa4dO z(r0)#6N2&S{^4F#6TjK~4RS=Uk{%y6Hy{#l?ko4B>Ohf|MD7NrSaffPtpBPJ@P0B? zOA{b0WJm|LuA*%Gkmzzg_Jn+oI;A*Yv{qb0;M6qok>F_{C{oF>u*oE3)y;5Mh6Wk( zmWh=ihW8_eojI`9n}l~Q3tO|Yu!Nw60$@sxC`aU4XUOS`{$JV5Pe?npf`|KhfXl&P z5p!FaTic9KG?@1CcSym3y1h!ABPVB(=-t!@E2`=q4qVz%w!I2l*m&t|v#d7&lfmtlQ8TfI&fj)_=QgK= z=MbAu-F4H}2HzAK=Bzi`&`!F0uHV>^+5>Y$7tpO+Wm%)?{O2mH%mVP;_ixUgoE1

6Pb~rltNPcxQfKceFeM0Jym9XSy^vnzVq?NF&aHp%X0qvI<)lOp_M`E*J?pm z$os;J32Xi~c(1LQ&wG)DW!_~Hr`{K}lqE(HtU_^VZp>{PR%896Skce}1gzo~=oYZf z{FW%Retq?uTu~hRkOwti#GE$$SqO*`TA@h#xG;w zK35ETNaRm7x?gARv}I_Z@>AAHIy0GmQfAZnW(FZ=@MJ0_53aGs@SPcERtwEV@0U4@ z^&>rdwn&fgz+>_=qTwQ{eL_5gCrsrIe%N9*A$*PFZJK(+LY|i%qVo?d{%>mrh;OD9 zZ%c*BL3H6~(Qd)2_QrQI1fT*JDf2aGp+)Ky$kBB+2r?E^eL+7oJK{mZjRdkr@ca_u z*4#r1TfGsR_tU~zRlSeGd47e#K7Crh$GXmVp&H5gq6F@qxBSw!2^l5<4?!=Xa;Gzo zN~X9hlzFBYoz{%-ns1+6sOGJ)#L;c+hD&{n;-HJ`I+(0hI^sP8*HT?8s_N%CAO&Tx(W z5sH9HpxA!YP{W6*_KuLK5uXj<8Tx z*5L}@+P`j+iuk%FccJ6io(=DsER8SC23pFiH-Qo3^ZO^(hs%tt8xr*+*p z5sH!YcfyMH-9ZOtd)NAdoq|M-vggK*@b-Gm#gie<)S(2JSyU&g==FHa-x4`s)r8aq z%z~jFfbB?YOH0m=*i`UPNRWNhc@k5vqM_-1?RzKDCX{yzh-99ZX+>q7cMkkh2O|U2 z&4{wm6tA#G^c57YFKZ`(`(M@-xE8}Hk?^*>6qa*oV8qm4q3t!ZUCsEO?M*1m<1I)h zf99U`pTYP?2Y;ZvP1E|epZ$h0e6VZVB`1K5aZWdX<=dGJ&9u7`O zaIjIAX-^AW=ymPxrF$?^-C!Wx@k&ee?pIr3iLSetU+6$rvdmbG#+{Im+!rJk3}lfO z>P4Ciu}rM^a4P;9_C1yC_kDfn+Yn6^NhTvDjRp~x0Mr>H=_89~tKc8pamhbCSg5MK zzqpRovf)o8zIzY)`yMH1cYE?(Q)6e!U9e#yApQjKO%EPg?Z(3_Qy`n)W>rYoU6M0*(Q?f2zmu0bvu~oK2 zg@)Ck{<2;s>;Gv1=8z6F*YJZMVt=f5O+8Xk04;KM1gUrkiHq26n{6d;AVj}a=EFbX zw+D1N<<3|5y|%u-jr%2~#wLy@aNit*(Y8GtVfL521qn=vr)<;oN%^Cmw+ z@a+IH;I#+p(|2IO$xRN#t2SEZ=l0=(*`qmR?G3>nTQPN7dggqc^K?t2weMY{EK)$uXOiH=$DYasq=6HFO zfy4H-xVv=qz5P(c{PH{QY#*xr8XfTB3v4(mSRg1Ir*yQpJ=|1TZ{Q0UC20$`|8USj ziEB=dqO2>t(f5w%5+KHnd;4G~A*=J0zD4yv3m~@e-Rt9(7MvpeM-81IQ6n&5Cqc^; z4hYUqs^O^#1z9L0U5o=&6c9Y>jBcf{*e6fmXL5| zhc+3gfaIVVH3{~n_jF*4Z4Olm!X++`mU3h9VeM}sr_oyZ{R#izW@j_)@=TL<(Cy1P z#xG~?X0d@83c5MzLl^F$mhl0|a^ZFpX@foo^5A7^{q7%SL#Nzj&9?_WY3RKdz@C`BOd;gah zEhhMW{$xg^HIy+WZuBX}fse1uYg~-5ib2qph8Z@L+Cv&RTgD)XUFA3($6rUA+jGsl zaZAgWz7lsgn*p^I6;K*SlAX)|+9F5jMTHRTtFp==L*3+EnH zGCiJpgNZZ4>EVpc&PKe%@hfl1y)gKJ5=sb!X!qQ1V@x5&<*ZG`Y~q2A>MM?_F~N+b z)g++`887+aak{c{@trT=tk0FuUs|9>!?TJyDVI@&lx>CjvS!yUIj}0AMn+*meci;pxs>Kz+P!T7o#F| zgB5$7^2RCz$Mo-HNWmFt%s{5!H4&i07C2tIBIc2WaqtLWBBTJuX<)q(&g7o6g1ZD1 zSiPmA{S@w@qtHN+b#z1=9}81MXC;Vc`F| zTuKi3B*aN-6kSnNesZj1Ehx9?k+)UL=%~D=-G|UC=83$V{E)Xlp}2;%dEcfksnTDX<05G zw>})`vcr4QNKU2{bhPm_nMl{Erg4IteEbVJ-G%q=^*@@qEiLXYX z+i}f?@oW2AGyh&^wLJ>v-$INuGBgU-=)gY*-|W*5KoDpr5Cx&E4Gy9)m5;{}f`e@b zlYrmn@tJ<{ma^R$S*g8F;xxh4qE6{!23x*nrSYk9t54rzY~GVXXxdMQo1H|WK>i-t z(m_{}xx^jU=FQ4ETHtE>t5yV%^0I8&SRl_U@wM2~RLmd9X)WNda%mHbGLygD8ueeY z(Hcz`izM;q<)2bFW4uOuOC34E>=}Yl`P_wn%d6@{j$1Vgqr54hXt+Y|-*;pkdf8w2 z+aqgOmfe*R8cLQ{X#pW^ozPL0cQ%JZKrusP+F|XI?SiI-aF&~vJYKN0DqhjFjllDx z)0YY^N6Qs_UM+--53@u?8{?y5A;1O?;ip5M?MHJ;K`4e)scX+WB&RAAqJP3dLB%mA z66FjkNwg(3a`#w7fGKTFg>D=K<~ZU9;ke%se6pVfnW{|LFxa5%OX{7?AshYRJcg|T znhB@d-M@}!zhH~`e| zPE<JUW}=Lec&Pe3El z_F;e^!%0hLIM9=Fx$^Z#%H>bQ9&N$wXwISG-VgP&2(?Snrgv`r#Edo40ll}op2E*) zmZwSzQJwFN?z_2fr`pRxEeZI_#1BJzub79ly{_a|NrImJk|ovU9`;WfQtZAzC`Cr` z07B0vQvMvx(qM`BF5EZ*E}}-nrx~@yWlXGyJ9YX;&-e-MD4PZau^L@M-1z!rUGU{V zD1!DB+7cD~hnP$tyqzrG8hR&K2+>fm5EyM?ri<{=yHJW4X!PCNnW8rUc}WuL{qBnW z(ZTI9!5XXFKZf3LR$FV6^#5o&%ebcBuFyHg zuF)~NJ4Sbm(apX8{XF;Ez1U}Q{m$!*?{S=b%(xR0+F!gU+;_D^Kp1Wwt2qmRk%=A`R^+H*1I>>hOvoljQR5#j24M{XyV6+#y#>6@nCe zPEO421iC};Hup{*i<>HkEFl!0 z!dX9ua9H7g_(l#XJ2OOs9<bN%Ot69#kL44&rk1f(&z!*Z*7lP1j;dZV@@*}2(h`Z7qND`!{WXVRH zM6A=J%}3yRoNAV`B1-o8Uz)F*?p4F8HF8kL-)6Id2|?`(^qm zV`i~)>JWBsJu}!V_pwHh+yUPzk7*wqf2`=>yz}u9*XT+*O}twjIbpRUb8r#r#mGF9o1D8?1SkfYNKUpN?H73k7EJ0`RD zyzS${*7M6C2xt|FAIY}$geX5-Scv&pJH~j~xJMnuSMiCbrPvc9VXeKr zD<+RWSfyRUOgbnJ9ZVV7&IoneF4fgK^pXV19Lh8VA#L3K)RZ;JZf;6s1~ZKX=0B=? z9@agKGmF>TSo0>z?b!{GEi=!%D*Pdht&|m?MOHZO3*#jxY>@6Pio9nkv3lBXWbFt) zzviXXXP?fx(3av`eY?tv6B7kiTWx64WJ`%OSfPIj{8vvYymQtdPHGq$zQm8N2Eu>p6;FfR+wa@q**!atN|F;^iCwlDn0=&#AViXwI(b9lr_y<^L z5dyk6c3G#`vLeT`B4$fIiP0snp}m{@5pwPH4o!m&T<^Wa-$=t^VunRQQRW?nE!6={$u=h z11T&``{}LiU=HNO1S?a9a}%8fpocN!DulJ9~e&QgUa!#Q+V7p_XW!mO!{5%&85p zRSby~+ZT>eYw>reZ7zKA8x@EQswR%eM5pxHCGB^hl&sh7nn5~B4nsT?Q%MU5%{6An z)fMaN2d>EShVHk`nvn9p*3pru=qg7nNJm=UcfK?T7};vQGnwf6AZ2|zgP$jR-RE}y zz3}}VL}d|s_U>&z=)=>Yuo=s1`;x6_KhdCnDD7|>d<+W9bqw{^QiIE$yp9eUmesc! zJJmN`+CDj_cE$A{TLGHJuknQ8Rco7w5fQ>&>wBYe^L_cZX5IfHQojtu@o`&!eSY$$ z9ltaY=VYaij+mEn8>aO!%oiUY*UZ~|q*B(D#$ZW6tMD}>;RcV?&_wMQFbGYJrmf6> zhbUlU)>)n3Z$S318IXN8w;h#y8uN;Qx9;1^BP{TP~Ne=h?G+l*5&p+B;tJ zz91^h>YbLa#YVO~or2|_h!Vdi2wyruPin`gEan+u{|Gl8L~wT)XXu)U-u!_9MSR$r z-#^Y&c%5fHXX?J5$x3Hy@53GgWB$4CXH%}NsD!};3#s-9#lE20OL<|I;}+{Tb7wf{ zQg~t9(2WU2TT-M6w>Ua*vfUb28W}67NcNNsla$}=u|@^eFz<*68~xpB<#!Vz>={L( zC7dqV>ejKLzm4L5IC8r}jrPH8> zUF{N9qTJj4fR@Vw61Lzr$oVS@r*ZWNkc0oneZ>Y#6dg04N^jO7|u3~wmyFHML= zxVUwR(`7OkcM7>VoP%2ZSgNCgeMh(0Vr!6rV$8|gYWgLtuvQ!# zlT@YgerS+`CbvH>Y}WqEv7&geIp1F;*-$0M)^vY{_{da-?|Q94)k<9HsWZnjoA|F6 zhluUEW{ih>7yr!|e|i7aCjOuQww&AT&&JoXA0*wj%qQ(jzH!i!l{~cnc;Vj@7>5YJ zPVno^ac({h`@p=$y~dog)-F7t7g>bfeVgsF$+%eLLCu-6(@w?_2@iBVIpkz|DJx{pklphFl>REVPf|hI#7yZ&MpV5oL0neP%P>EbzG`DWeO5s%KlU zQUo4QPv(2k3(&TSW@dip%;DD?&|WqAGKMh~o!?SQr=CGGe0F?8t615hwKM36l|UST z=bAYsln9FNS*}E*WwM?uiZIz|00l`L{Bog~ly3ah3;g4`5a*IYK^mO0!iTj8sb(X2 zN)lIl&{Ig>lT+$25k0$74UzYFaxhVy@SV{0>uV*Dg2+Bv|8z+n41wqwd>0RW-k=Gx%L8VV-O38_vqqy|KWNjfw|oM039Lgl60kwE%BKY7ge*Z#vuqA5r<5 zx~m_D%58&@0g2_Fn9*Yq*5BPZIeEJ0%41seh4k zZQ)^Ag;woF@eMa=bawehGre(&tgzvr?wRP-aJSZwev{7>CHSNtaI!aMJUnIUxXD)8 zdppt5eyN;iptN|S+!50Da@ne*tX+zNB7xOpbHG}`e}T&SIehh+^ZstnoCDM`;aqd% zu2}TSI`$&9=SzwJf)?pta^?b@R$pgC`ZhV$fHB!~9^KiP@=0U>7*}3c?WT@vE!*ZM znNBt9PF&hxt<3mI92O=*@H{8eG->fz3D{(I2W(gzm01ea8EO-E(!g@AL&g{9aX>J5RgEQdfcqVa3tG{mU0IqcMD7QJm`ZxXI#~0Mz)R=O$WW}{h|%7J+3uzOYvGAR(5U1 z-?TVXOzlfYVhuLreyoUC3RLM8n##Oc%;7z%`MG>p(cTY=P)&X_@ch=zTNkg6@B$#d zCV&lWOAwke+#GET-sW~$H~EBJBo@#-2jf|1i&mvIVx$_a*JtqYu7Q5Q1z! zx-0roKswscv+p*Cv0K&Gb5A7W*i`hpP=nlOQgh6)$r|0rz+p2HnBXP0@|LO}aziuI z9NYk1`=LbT=$&>+oGdb|Pm}Y{nr>>bc{f2D8ZK`!aFnEDqVP0L$(@0NIHhaCTx$(a z$6NkoYqVSOkGEXVLs#O6MsgvE2GzXr1j0z~^7kq@1cgxtODQY7O2TNSyJub7m3P}B zL437M-2uGZ{Ju`qG>^AF!7P-h4f3ZLI2VpFP4TG5ZLUCSdpsbh z58;@9RJzl1T!+_jyIOafmkQQIY`$czP(vh>vqeLOm%hc~&??Ewcy#Q|w_oI?b#6Ib zD2s+P=O>$-+9rR6ZT0i+9QM`nJE!?4FDEEN4B3k^BE@S$%v+9nyS*D;OocwH0~mSL zK!q-oHSoCML36CnOgLhc0y=EcMy`M&>(K_q%c1p5^Dyh?56)b!O|lzWM55!$fZZkk zXiOm|uAoJEC$29Fm6;B5EB}UyqVF1Z6eLo_C#|a>#-_+`QfT=Oaw66smL_(S<{VeY zr3TogktXxqZDUvfuj+2%5@hST_yd|Oa-7sS%O42 zL2Tz3D}oE{E&J@pMXjX-gsrJ^H7l*h)JbMRA4Mjp){MQdZ#g^?uU9qfLYo>WLHHpI z`|u?nuK9>_p0EOm3-r(SS#@tsX;4l2rUo8RA$VI&KH`F(z&s}nnl<&CW<8Kj})Bcui+-}0w;h!Hx(EjuLn`4hK%GQmOU}vV;&hvuFuk=WbF=b zk9|@+T?l&Crm2POo}Fzy{DQn9v}V{4abF2C5CVNOvU5SmFSA6i)u{)2wT*Of(QG!Q znEWvP2W{PdB^Tp=ulm9-pmNSYnB|kzX&V@Ffpejw!sM`c9d?#&1n>dmkT%?MM3ctZk0EY(O@Mh73IQt!Pvow!|K#%Kw%kjF5cn-^g;V)M6 zD*zQk{rg&^{e%=?eW_lZ>~e#yOm;;wM)HknX!&4KzVK^K!mGS#6fr}C%ja*^C~_RS zxt#OrT}Tv0ZTPDFt%wD4w90Lp0-19OL<_ zuQG{5Fs1G$A;_YoivG~gQ@FH0eWGM-Nk?VRs!x<|zH);teKiS0$O;9hsT*%tghO8D z=6&#&jhYwawxr1n8akZb@I5b(dGDlay#skIH#mV6p708$eqlp7{pmv**>pQ_?e9L- zP7FT#A565EUxVcG_D`$~ypU2S=dWgAeFMig-q|__IpC6-17S-pHrsddUYmDwDcS`* zHELb1f7>V2Z=Fap_`z8`;8{vhi6 zzQ-Nqbvq9jlWC8W--F}f3<)k-18ualZF9x7$h@@nH6gg^YjxvVtdNDE(zI3*!b*;c z&I4YFbofYQPGBRwK!8tp#3acCOT=Do%4YKjt0St+2*QwX9FHJX$tFuM@OF;oC?7)T zAUC!<{i&%a&*?3j|6;y#kR6a>IZGM(0SoF~!-}LB2_Xu03{oqjPd|{Mwxc*&_IM(= zAt^fmY!qL(Hm2p}t%>Vr(sO!t?tW2drqaXuKA-6`c^ojWala)gd|OF;7X9K#w*)1K zw6_UHK1n5Z@$eYhj$mUFs{TpI1i-EG@%CzO@G%v-w+1RZSeV)gG4?Ye%*05<0|%+% zn>Kj()@z18_cZr?tLq`o#npk(+}=LPQyHxbr1qryoS}~n5`>es)oo98Ndq(^7Uxpp zcWil?%Kf`Exspe~E z^=E(8FE5MyUHyD?`*cT?y)(bNZZEr|zfq`hg5b$%Iab(TG^_;*b7fQs#P=sT#G($J z;+HZlf4#caIud1L6P6~6(ENI!YMFo6oNf`Gn&2XT`d|$97?JIlghBqSx)Fe*HgH;i z3PEn+JYW-F7Wg$VXqkwRms^J#_MsXx?g07U!*Fui8>@7X5&}=&xu7i#W}^0(cP_re+`fLvy_sT}_5QdTVR|uBx6H;ihh6AB+ec#L#eRN?*A^&T(1y6y)$SmJ&2gMD==5BuUUsvvyN<4c)@yS zT;mt&w=f9ax7mxc{SR1RPgko~WOM(oE z0iCSp1~{VYcDr8hv+hQZT6DZ6bnNRwB2d+DTk7`(WTlPUV<1hp-WWF(@PF;hTAc=6 zP!w%ZODjbdFV&5Hq*3HN!h`I`DEBUhP2fpFk<>tB=QbiqtxoYrvNtnhgEPRI9b686 zo+?hyP#|GQLtl~7DP}9e3gtMQV<3FLE)XF^478JMTs}l;r@N! zx_4{KIVBzui^wh|{oabWw1oa23veR;-#yT}hPVfACX*oxEa6pECnE$L@gP$nERgDs z`I?|3C862VhsHqL27>_nr{T5!A7Xw;t!|2@PLL~|wL4@kB-SjU5b8dnsMyefI)ixt zd3yVOhGNV&h{F{rRd8vzvZD354*TB>MT0G`kuK^q!2C5seEiP5v;xhxN7ZKmGPH>L zZS!E7iW8c#jFGvr6IXr61LCHTjY&$Nz1|WYx-0L+xn@CN`bS{_>p=_YxpZ=zAi^@0;x$(lg5IVfs9TLGPAGCS zG+XWhvUP$(!5h=q0pV{xjBFw;A&35)zZ;aMWdHXnlkZ>Jg~fn*R6Ni0kaeqQpr>`N z>IzmB2#1~fc`E7b%Yjvn4FS`+ifulnD$>q+cJ|0&F|Q{$E@O5-xGYXQEU}W}sFD!+ zcWczOe&vW({-!vfaVjpVUyn7e;v{PhdAB{y*Q-pMOJ?K51Jz}#4bFUWmpuQx;H;4z z&8nrzUZfzzv>C*;O`eWkpy$DM6*Pi_lyL1UwnK``~yNT_|JmKsz3Gv;WZ%fk9t-SmGk{qFm{i22HuJkdMQ!7JnFjNPH*qdkwUH~(!AXuW49T8>f-&L(_* z`#ciDdotXIi%t-ooe@*{2Zc8LF)Tzj6rU!8a=n@65h=aV4uc17E`=Q$@)fO@NX=hy zj`u(9KBZ#i8Oj?-SUI6ph`pc+U4M+T%wFVd%quhTl@5;kz2{pr439pV7=en4;o1sX z1n`9%yWxN24zN%UR&Zn=+L+>iRN^l2AAF^Alp3g9cI(?;GGimjuZ7KAVRbt1k%PTK zfDQT37xd$YKA1_qY$_rpqP&eC=6twf8+2nJL$2DvRN${|Lf7LpN)t$heVcAy$~caX zfk7&4-M_VAvHbx=w4WrkO-17r(9^tH6Y?QXZrkSKRuc62f@Jg*EaK7+F&o}9&x=4* zA!W7Hjb6XZT;La99bTJ`m-F4cDW6~=47eg)4O4U206TNdzSMAf{)OE6N-;7?4m?-! zLKVcAYDML58nXmak5^(*Ww&b`#?0s{-&|Lm(*%vwKa!W{OWGW5)7eLk1mIePmcHyZ zkfQz2DQqA;1GsTi=~xV_3;rE%VOsnG`KR9azGj-W*^gc^7Vl{$h3FfFD|^9+T&b|i zjR*F}7#$n0XZa(+(5d>{G~C0sS|ZH8a#B&uzjL;r2>rk@h7DJ+$f-{3tVoyRw|?Wq znN2EeW*!ao$D$~kzCZsu7@*y;uFQ})ppU`U7KD}_XSOowj2~Q!tB8BhspC15QL;r99&2kC*aIky zX!l0EH(EerkSux-1Jtmw%mL%^_$aGSTHvMTDKWyDZk!~>mkOpGWa2XW`|RK6cOi4$WeEj|2m>4Sz}vr-vXsf*~+Imn?o)l#ZL!wJ4v9(h_i( z?|m|!XB5*6FJkSIVfIKPt}o(P@Y;g#uX@Y%E9v#(;|t7iWu zu{DvG9ub6X2HI-?H0*dP7X#Y?_kiD(@J3OA2n9-;^zGP~OM8 z`5Su=2Tnca4^a3~9(#WPYZA+}m;_jLJY7d&W)9HOSKV?n*3G7OjkoU(8gV?Bazg}tJ zcX0K;H*93O(dnAiYQiJ}Fq?dS*rvD@UHeB|DLB6MaxzfXt@FI!dbGxRA_1IqFES|q zZrl(g_#CM;+DX6-4cs}~jrC|>h)tlU2y4t6k1<;N4q5&@J=bOfE;*l(Y09~KztBBP z71a1>6se(P*ruMKtH%Q9u1%YPpMxH*oyExxpOVAAjVhh9vto7P-es6nt^-X_bP#;o)joySpNMU zEnRz7IJdZeT788uK^Z3rie&s^hHthzf$Y2<;P7(Wjr1kNk^rR&cJeUs2kpWh>0<$v z;WSzoB3;LKmdWO#3=L6K5$@z&Eo;Qsa5|g#il_pmD&KP};VXnPtszw9NHB?=vDfAEx#{!F7JFsa3G5W|*(Pzt|icWbD6pq!}PU zvLQg>uv@-d5+IOBKekJIZIAWNli&B8KbkVL$Jpe*>HzT|RqS7>jSONBWt<+RKb7>5 zN0B%IiVjMnjE=hZNms9J;HT$OUEVE!1a$Ob!z)5NiG@s?H@dgYId0?>e1(&J0ZF>w zrNuk4f2%lI7VZce7Hi~DZoZ(zT8O>}2Cp!u9Bz&>`7fAK`r%dF@}+CKK$BtLc;(wM z8he;Fv7$~jvQTKB^+`-O|4L3)1cj`lv!nV{H4mo+YiOgr_Pjz@T# zgEOC*-hsP604q+loW7~+@5MWNXFuEYF8>YZ#@Qh38nQpo_OAXF_KH644SUI?wDR-` zeAhS}h7~O+cKBJK-bX46{iY=$F=dKjX^&(Tv}|$7W23iVJQA(99QzhXRyrYJQ+DW- zs0ZcO6NwjUu^X%b{n$c*aPFp zcm&hlp*Hh+7&~RC_D32~cROMu-+P6oQ;6H<5K9Hxm8VrmHNfu(Z2|+$m7Gm^bCfEx zZzLCWGK=YcnbvGwLs}-9YS4RucTTwyr8F!ABSp+Z-~8WU&k+>xlK`VJ2du+?@jQZ0 z9$Bvt1P&tL@L1J}fl7Z}p#Yw@)TTV#TOfUi#6w;HHwAWxIFo(upfmKU-Zf_;j@~*j zlc}>Pd*V_G*ufx0Y|+ zp1IC7yPVxN=W5?Ey}TM8JUr1hA7^5!HN!c4an-9~g)TNFh=L;}%T{aHDvSV0%3*-a z0gAF!^7gnI>yr>uwB3qLB>gRb(=k9FdWj5qoBsh=hfKC2r| zIjjcS3={-;zTHNbW2vu*gUMls<|P$>aH>-6{;_H_@AFUDqBzybi#ls&mzO;7gFly~ z{p{ckOMO}QVTY~<8`MzLHa>4@J@F}`wrs;*qhVMil38>2(Xt_Ts{NG~Hh2);pB75m z%hVo8J2LEh`XymLbCFk_uwGg_3DWx7?h?oY=3%&&7bc7H`xp?ozF4`G@Ke0qD@}e& zn3xH-ceQ_B|NPC ziqZfb{clG_?4|{_`NhavXD%R8W~aoEvldCxG!wef`GuxA_xIvfq4S;rc4M*foK}OVILHDgJ7X&XJ9PYS*Bf|6cdq zl)SxpS?+)|7cyL`Nea-NO9D5)zQgxEZs*6_kznedlFO9JnXvS3lJK*m8^nDd=x<{6 zrpD_udJ-Kr1Pc^4IlM|o5_V6jy22bEgr&+=Lr2A$;l_VU6*~@ITXy)8)esc45%Sfu zo$2GW{4Rfq;A47N?`Wj~LfbGA<>2N(byM*wBA>YXneK0eFCcxB|CxR&Dnl`OpMBi+)6;4VuwFhv5~}wV@}kS({X~< z0VVz*b8YGK7Y|ra5en@^=#L^>v#D-U+KkKoE@$Q;@3*&`b%jnz8QC8_NyKM`E`iTq z1jtG$_zw7_dn3O}T4%>qp@0KO734`$AoOsz zjz#n0U_ML?jxOJ@#xrAeBxEh+HNAY262BPtT)+X{3zS&SK8!CW&ugkhO&l@o^GSgcUy`740<2yF=``ip?W!@V=K6eY zUL<1N8*b6>5Q#-q^ECbK0mbJnnScO$y&pGp#qFC}rmt<>@b&K_LvQp(9UR{2n`o_f z@}e`QSjBo&zpRVJ0F!msi92=07c`HIl7H4~o!^E(DR4^v^F9xH2ZgtG3GJUHG8GA) zSze>r;#}T_rW*`Yj^CJmPhahp1(8v5CzWp&c>_UoCx~e= z{sj(X6ojxB+(AbcTuRl#G{1x}iX(Z7gr_ex1}^5;61_|Zxkq_;koIG6LVt|&f-G9q zgD*|YP=L)`S82{?ym1yA7JFkG&3(xQsGkkN0%S19gOl`Vcy@Be>m^JqC5uR3xHFd| zP*cCCdKYeil&lYEPy?eroJkE!1B%Yt1D$GUpUQp!h|S1|#?LkWU3l^A2s6SD4ZP^u zBUG%IZwp_#8m6)?Th!uYI7K5O%sg_0NANT2$oQ{8R!_ z1!uzJ+l|JeXk0}?yVhg=+r+{=k#7Tk!?=Y|@mAarCOeT=@mt>7C}{IACs6VsvdhM3 zvC|^;rOzC~X^u~Nq`UZ9Gpdn~>)2&z=LR}33s@yX`~Z2M!KhR6R1CvcydU=0fvc1R z`9G4K6{;(TT*=m^bdvRnz-<78)xIDa%O`}s{Hvi>iD)@jhxXR^FQv9}waP=YYJi@Q z*J+PJ(0V3__z*n5@N+r;xHGC!G~nd!YCNjOaHqb~7h&M||60l^NOaHT%>{2^R^w(L zCZihbawcJ{cLu#JzRN$OXP7aSOCJEbkRz9HDYxmp6OZY_HM;GI7x^RB;a_l4Re}L$?W0wdchMZ z^kbIPV-V`X%R&$O338{giRk3`)1TB%RDXLj$CoM$Bp%11z1~LUPI}c|yV=ApmY#>2 z+KByn8xm_`9ux=P>D0W{D7r#1(cYOcXVBr1-iRxm1bZF77si5jeN?gS{ph@gi_Ju7 z-+p^!_#~ebm&orwTO6yWK|_P#aZ7K=TTL$~1yYN*;zkG0M`*(QeqMXhGfmUiWGhs( zcRJUA6N7uPj}qe{V+Gw*>N$1 zf*X=$Fk7@ZR#ViH8z}yE2O;))jv8SPR2dmtTAK4eyApTZ2`K5i8RT=&T%=HwW?lCznSEQ! zI$NZTC?wmLB1^#0{zqoxbG-7p#&Wykn-X0QkCW2zb(S?SrHb~Q)>}#G<{6;)B6U{p z_nVlsDkNr*mb$Bsmtm{in)2o^dv6m|ho^{BEs-x*GbmU=z7Yn;p3K6Y!U>TXyn4@g zR4KY%`vHCmr4829F#oqOl~h_>>}7IjT6z}d@J3r?;h23ibHU$<20wey!@b)4w4))Y zRd;w})KLo;hLi&8h1fN496Hj${%h+n(X7RURf{6!h(RHbgUA>-0p5)}8M3R0n{^p- zpZeuL#ASmJ@=l@Wy=PmB;7B%QS*T2Yc+_N23oOgw=j<8f&L_&0R4y_ zU@Oe48Ihz666Md5R=(enDGIe|t5s_YP;oRse zMkA0K4QRqZK8lZ5z0)JhkH0HqVcJ4}m23lO&|e%FJVO~7RF90V->|n*ukJxavywNu zJj$1ev%BVBC$lQiGhj)eg*wZ<(t1vx#$Z+df3JSwif=Uv^Se4J5u0}yYKxqdh45Nb z;Sg3X?JVfvWR+AOH_z`sT!t$ZGJ{_4A@}2$rG>^J8HKDM935pHl)SR4bR9h z*c*_Z@2y*_(WkdtlY^Nsk&b;HL`Nt^Q>@>C>8WrBS!iSXxoxfrmZNKrr(@@e~-6H9Y&8XGi zy))0ouUt#GF=vMJf;D~Irr%M*ELsfTQF<`^=@RO&DT0-48SKB4-Wt8BL7xIGKX-?p zB? `y7-uI0#)BE{j}k{p}n*vTZlo%9M9*=#%X5BXW4@gSj`jR}a?~BbeL{ZxKAd zEBoO&pUarFkfWDpKTjwjml2-#Gq#;zt-3x;BC7h|l5>=M(vg$tu;&CeH1{Snt( zTww+_cfg0tEZ%tOv>_;8gR`s=Z$abo;KsxE8ut4-TXg?;v-Ys(L&m)p&^f+><@(52 zegBFx0yazH)b?O>1oCR*z0!*0dr3JKpv`8v&Vao78EIS{7ghkue1Z&}CC%9zsVSK9 zG8hegJwPYJ+O1fSuBJ&W61TI!h1FEWS8UnejH)?ksPTbGL z?p1AXhoVfA*+UqDU+_$4DHA=Ko4ZVZQZgS9@}mY!{G4aTP;pk&n|`8#Wa1e6b7!h~ z;H8YCdT-ee?nPB@czb?)P=4DZ<~2WnDTZ`*`EubY?7XR~|8kSvdwTS;PN(|gziGkq zEkQuMNIb_|f0i+}&F$vlDvJvagLqO$dZya@a_1U%Xbt`}--%qQ!7B(!^wv5F9s*iX z6s#7kUz}XCajwx#Xr&g=sVF_06NNxPM{)7!LeQv;kuCd!crOJpt%r(-nUg;il|D^V zFhu&uT@ie9w{3pc2*|F4=^Gq8%_z5>G|o9~%|CnMiSh3x)$UDV=sPwmF5LDtka4T| zt-5@W9QM0%(l@bc^IDC8aqreJe4DD6i2LDo0ek!VRn$~GR(@efC{Z3G^j~EjPxI$I zsk0|C^zySMU(>H;?7yz9hyW5%0Uryh;hxEkF@0_jKlcvJL6u*&|=2v-tzjS`W=pGk@`esyN zsgi`SrAcJ2;|_%B8~z)pm)QNs_gDH~=?t2CAqeD^% zENjaOp|Evs4>rezHMXC&BIalmBitF90maIJ=i+? z^Dah)h`WFx4}6d0PfKDVYIXAe^8R*HaG(piQp+kkZORb|VsG%#l0W4vrRM7??sLQ^%XPaLbvT6Bk z({oe=DJ)tWsuMWGO2SN{Zhvn3(m7m_uoj32+xD_ZIoEKsH8c2JiXK?uJ~ep9l($G( zA?MnXr+Jsfvg~Y%6B|fvM5b&lq<68wi~Lch-VJP_Xz^xk`${M}$Rp>B5%%z^c-)&t z*>^_{5uQTnt<7E(@sSbUz?|Bo>;G6P5JKKv;0ufQMJjOUqm+^mq-~1ysks)HH&dsV zsHc$CRv2z=xgb=@~wUz|2$Y1up8Bm(XB);Ha_KjUQG zr31}wjy``L)iNMEYnw*!7zUQ6uDkorb+6LdwQBOud^vZ`3C(=;J^B2F1xXt1^uQNPaeoY?d`+cQj=6SeU=muoSZ=NHy7 zDr=T^@Ikr*uZu?z@uN;6>uyU7 zQXGPw$VN`W;d*Y7YyhE`cLn3zuYCkxiDHq%q*pUf*ax3Rj8Zh4^CmVtINt(Ym3@~i zrE;VBn7*cpL6JaN$l|n@!ZD~$QE6iXD7F7`NPI%+r%rI7E1$Pct|Yfc?UR|LJgL~5 z6JSC6CVbGM3q14TQiHK_VuyJ#hdvsmS|h#$LJqR36qoz851#DbS|Y=8f*K|{kRts8ss zk}KXngND*uC!(G#^6$>SPf5tj4mWtFkzf93CN?hfuRWN|3dWP$gDt_NUkn7r><@-I zhC==|kk?iFJpL^lYVj^@K=`XDhDD{Ohm$ z`-Eatc^E;-oD|C3QT?r(JsNS9oU_@Z3%Gp3(1S2PCaB;>ZG*aPVv(N)=sPYjHgAf_ z17-^eSIJjD1cAS>ue^7Ck7)hkZB}@#MYy-$Bwtu(-F+2TaZ+2yojDt`XW_;|-Xng+ zPKNiz#2)Kz_AK4+T;LKCl31+eN>&q=(YhYZ1Z}A=Xw9(jC9qmHD6;dEU z&%fUz5pZQ!@Hd9`^?^=8_?QT($P(jEnhz|6?>E+Z@@YKZHLkXd?{mIiuMj%zNAOYH zz3R)e(}a4B8x49lxnj`9=WX<~c=(5q{jzn`f9shZyJvXOXlCQ}zP974Hyd}p_BjD7 z)v@u5UWR`JQf*4OR`O!5h6d}v5`(qW1|LO}od*2ar2v|ou6Ikidz)$U@q0!yishC+ ziQP#GK#;EN`UT?75~LoS1m#z^vfBikt#kF)Ij1+sLO3caeztTO5Wv@cdh;LJU-Z&Y z7sHsR$O9=A@75>Il~d)CNWSH@D}1L4*i}PH4VgVbv$D;7^;G0e?CjT3-`!(qR&R+*yPISgCy#)iUGT@=*mf+gUpAjKJ>O^Z zSGr~Wm)K`LH@oK9xc>k1e}Dccg46_`P5e$CaVvN9(WvqmC+Up%O%zR9-Yyo5(j`iz zMA@b={)z43Ls9a_16hg_8`{8FQDJM+YSANLaxLSrxX8;!{<9OKqcYq87YDHo*YVj9VH$Nc(%!_)0FY5U9|u>oYe0*EP-rcAkw5W{ED1TpS|j9WxYwKF;3? zd6k_rpY1*3_rCsXG3G`;jO@qd6k5 zn8gQ;EID!*;KHIid;YB|wrSkZX39eSc!inBS9^UIln}o&K#P=i!7@0A)Fd24X^;#8 z6nzG6o5Nw@&RopST!XQP79vhuM?FRh0y;s+wx1E8(6Fjnl{&i~9V&|XOL~s)l*IS` zPr53SnjHDUMCg;>DnC#5uVK#ApDEl}qNpq7NyQ8j=#cU(aH&B${yfX@J$; z1W14k&&%ALNQZj~1qV>kmm#u)-?#9PYh|(}#3?e}DEyHW3z#&8lenZXpx)Et#t#j) z;!^uOfkoEh@09IJH`u^og+YBY*+z3;bYP zk-6U=9Qx4gX|?5yUO~CApZNUTuni9Rb~tUehPbhX{ten6r@w{yPGH31WLBUp=txwCD5lZTT$DW2Cg#5& zp7N9?!U>Z|{?U#ue1Cx9b^SPXU+2ELv}eHsZKLrJ;4&kePA{BWxBsmL0+)ja#eU2) zH%K%$=!2tv-<7kP_WVX${-<$$w8+S?^}ZwSVk0fOMq2^cGebuTc!@wRoPy=J{+n?m z91B)E4sx=G$a_&ET@qB=VYABHegb*f*nsB^?dn7jo0B+`7s94a4<3eW=k9gExl2iF zlH}U{y>`0SWfmk}B=6d;8}Yz*En$nSh4m>>1>s~`vcuXo!WCC*3T9UzuTyfI4he8- z(d;cS${m^?$J)R{lkI0lP1p3wo+97Y(CWY#%-1MsLG#B46G_p~-3@(9yW{-QsNGjX zkKHBF?=j}aczSoV=_Vf+gX!~WIJjh9D(jAoKuY3m3u^N`_v7sl*w@*CYy)0=JvRy6l5eth1gsqd zlm)cCgg?#WWrpV4R4EeqZ=p_NUF43O(8*LC>f0Q(``KXii#q9I>TIp;zaz(?L3*-P zPBDR++oInz3@Fs+!+pInvsGX5KXOi^Dy>SA1sEf}Tj zFn{Y=bcYpq=jGb`7kS~BwWy8YVWXaPiVlXc5B9XJmk$6j9ItY<3YWbe{9ptY05gA_n z^J{Xj_uU(7cd;R3_k5*O3Q;0dQU2)E1-U0!|kH9>(bZ#3l z6QAHSxr$ii=|esv`ouqX@EyTLYP!Z{`|)xmv>e8r63}RS-N8S$)vA7q_e|nlQ3?-$ z>TORH)d#_-EXeYbO3_60+eD*;N(OTwgMPc%n_#^zd2x>NWYEO`VuHR9e9RuuzKP@Y zI>Nm~uRiY4;2!}~U2CK(o3s`i89276c=!WLLFWgwutpRfEPH?R6*?-C2aToi$HmsU z!pzc2XgCEBME`u@_iXl=qI~-0 zoof&+q5I{jKm%GtV%|OD%7?}G3Zb{~M>XR24-vik8N@e`a-{uTSXw4B%VQGZ(ES*d zQPwLkE#CCOxyEW*1SM(SNdwG?uXq7NPmXWi{50p8XHCR>D4>JKg6d>v!d#Y{vG&0* z`P#e>&!dD}GC?^XXHNDk3Jou9^Qs1?{Mn+;Z1$7CvXrD6Hn!>+xrDxMMI^casj#a- zx8~FiQG+5<(^V6BHD~>ntB^F!KFU<`DP$m!sem*Sj$M?H{N1eg$up$YgWtYC5PC8Vwt`=P%^0G! zclx6gJ@!=PBR6rnNYT6Ey-4hyj>3q6KE?fCH>m!0>NO_&seSeL?O%smE?xQJ?Yhg9 z_6tp$dCJ;`{1($gfuK4w^bIV1p7UL2&{}A=^DZ z%f3^ROL5|ZOG+L?Wj>j?oPpfX{qcGtti_^v3AysEIVZ2DhC%C9$2k|7m&4*Ie%$HH z{keK7<7IwDj7D6g-CFASXopKzF(`TFZ})$#uc5+u{e5EU?dkhYQ=-;?w3kO+Gw5=c%e6l{ft(B757^ZXgLm(}}m55CkRk=MPSn&)1X)!(l%Bn0Uj zx?O1^4Kn$}eujP}Vf}(^Sof zbe2JFc3Tw2p?F)|p+KM%io3N~ad(Orhu{QvcXuyV+%33EahKpwoZ!yQ_v8M}WG0h0 zvvc;@YprMd83`aM2SSSF(efpHD`GpEPX=<ow8E6> z4v-_eN(stplo@O?E_A5DxRKuZ064Q|h%P<;{AMX#uTvRT#cNPxbhEtj%)wh@?oun; z6Bw`a&L^NiMNUfJu`Ea?*RSwRn)GucRdG50pWZ3;&})7{ zNm<6EBn|l^k)ML84*LKghs4W;kpz8#)HCi9+@8%^y>t;Sm_UGw$R5Eg7iFv3@y$Wt z_NB_;K6yC1Nq%e%5oW~lh8y|d^|vF+Z7M`3eO>`P>(ZE;Az8O1f=U29=9P)8^emGv zw0BH6j_D`0>PjcFX$B7k_3FloXJWrrG7?<7+C-)t3Cp`*~;WkbU)l{+hufK|GEt5IpEk)D60b(KqnIb3=a zAY6EhOphN`znZ$6y;8!)wa@RQP9I)nZG9tQgR8IODZ4-Ejt%iJrFo^cqCcDyDku!{ zJG}BO8yZo}g+XW8FE&^8xMCxok7an?gseteMmDg^euP`q@OnBVh!g*zR&0IYH zwmIKzS>4GE*Jl*DW&h5Wsrr7vd-&J+bMzUN;_i(MHm_Ux%a7~|cJf)SNZ}e_AI-*j` zT2^xZt>>i?JlmD7qG!CL5mULZ0kardtP*tO(9ZuPZRtFZX=fvAvUs06Lk;INWX9%Z zGqHJkeziN;M(bE`#sFo3{*utg23k)ohPZ(jW4dLN-}!Lv6;Xa>Aqm{xgtpD7n+9r{ zuL(h@O@}lt23>wf)3uJER&TI>CWn&_cM>n?=)8rYC^wiP_+VUI9<}Ioof5rSgi%+f z%f2@f-`Yp!Thyb@z4bc_u(all8(?+mH$Z~qqxW@NZS(G4uS(*of#l#qJ=rVGRyy!! z%PmY7x+yq|9`Z{0N;B&fzBg@R)F-k8TRWg76z7*d-tl2r&BBRusOdSPeNL4M>B9q5 z9;s+@C1&wfWcsnP(r*!{XvErRw6d3n9n^5}6Eo|%KmE2=qwB^rMP8GgQcrM*Euu)} zi+;YFI@o$NyQ=sk0Qw0(lB<|-wHR_xGUsmVu;(M7ksV}xeBxy+H9lBPzPFVZ(tIZ$ zgZzgFILUEdheNn=Tqt0>AqdfehbX!J+&R^hI%0F6DM~z4HeufT^IO6=QWJmQHCX3qO4?Nvj+^llj2n?_F5pL;Q>WaydtEu5`A}I9CC}QDvWWN#M zgwSkI0l#9|Fu8dGo60x?1m+Xg^`@zj|B)8aJq+hCBNZ?+B$>` zp~<2I)@^b;U8N-z;-K4@nPx5${boYv>4MQ-QBvYs;PZ<``$sS|nbS7~B1MPN@OX}{ zKNgi7dAULRRn%jjDu(+}NZUXW>3by%ntuuvEaDe4PArI!r6V>|4t0>X_FshgpFOQR zj}0m=u@t;8Wc%p8Ftfl@8$Kt0Tyi-z^}p+1a+N#JktEfrjY9+N)`pgYQ0Gm|ke5ri z9@FkV03E@21um?g1|>5oXPv5ANWk$oenUl24F&QZS}p&fWO|(jhw|UO=s+C;pk1>d z+i=rY$t7ftT{=}A=-i<&4DUW~q~GN-M)upZM8;2%yCL)9dOxz}lmk-aGloUY2NwjV z;!}^QFV9naP6E*z0(1q8IfBXwGy-_YAV-Zu884+M<~d?L-U^(0%TF-ghaI8pch73M1TXe)p5=d?16R2Q;esFomDq?;wMHR2R;{I5^a^Yi$P+E1}k; zRX4h`mD22m|E7SV-1I5N-d-u|*qK!~nX7-jrA*Quj89wZwBI%Bh1zCcZh2I!sVaD7 z3yCQEkOz2mrO5SkM9F=5hWC0XH;_bw z?~s6T^j?U-;H8fsWE(QA^~T zj^&99?}%$1JtreacBVu^E&xZTN~(u~mAK82@b1axEc_9v&r5n1R}9l9MeuB`dBcXUr5+tgJaKFo|P1II@y@nt5BM zsgQb~%MuTrNVAO+Gl$)fl=zRb>%Yg)Zzi1Ps2s_iRZ+dAxmVw{;pTFZC-nk>ZlNjHIx(Rf zAX(j;kDNDdIm5V_vuYP+EXWl|z#c98Ca<%8U0=sum~4A`$nKH29$m*0{1E z#(Hw(cI}#A?IMG;j)HTJDhOs~t_N*TnX~yi{^x6M<>~~US3cRVGJBff$Ojf%UKGAi zGE+jR2H<5_u1!B&Vf^qkrw@?Y!BW*<<4ZqiwjJ7e@!B_4?vmOw9o4*ttPkzMV(s34 z`LuPoEH0`JOjTgmGlfbg1$vVOH(d&RnYxI&TWK)+8SA1rYw#Atg6nWZFiEt*5}1Wj zDS+39j9^uqN$6#@1*H0>HF=(&C|W$_vc_dZG-C!K?^O#>fABvH3bSI(JE9s5|E-#^ z*1%qq!c>;KshgKll$p#QTBEYo7fY_px@^heEMs7VuKWIns5A%@$+AHxo<{@ht&)=uuGhMgf!8t3u&jnlolHVm4^Q|Atd)o(r zmg_$>1~WCn+^AF(hg%Jz4;aAlh>o2roJn`UPc-$ZtCHG3N4zwx7!swafy$E_S^<%x z1gOig_I?By$}$rw=Fbf-bf6)PjOR>jR&VNI5g&8Yyom#ERSiPt;AIGwP)=B)Ep2bce?0) zmesW4h7zy9TYclPz^L@l%A)~A+xeWx<{CEAJVggHfjlAUyWz|)Lr8I1NJ1pQL&egh z`8s6gHde~|Hx}pw8$ViyDIXD#ff4X%&mYaO zCmHzkyRvKu4Y6AGl16fW{x-(R->mDffD$QX9|14}OU_&1g*5xAAEeYT&_| zizCBxjHGi$Zs2b(^H*IQM_bkugUokp!sl}=>qMZ*wZpU6W>ru&_%01;<#s-j+^|*n;-1TRK0#Mt$ zxd;@AqU9hEv?05|z<_38Gb^~I&TveTd2#TsEJ!<5d* zvIa-JGtHifqThdHZ{Zu$MZR8Du?U8Q_!Ofpl5eAZ@mTy3MBsijx!l z*X=6c#_N@2f@KOKqkR!{V5j~&B~XDF&~bchtR8tXu!j4eaq9W=>8AUU`wRLiPh7$~ z754AKO4^LgjhM29KDmT;^$|SUwl`0y50Hi`>8H!T$HLURrj+n}_dMPKH&|7|TWX`pXu9LQON1E55?wyT89()$M|W@;4tV``Z6HL=0q_ zaQo0nVw=@OuUHg7N{Y)P-0DPNfwkj$8ykR@03Xuc?I=~|rpcjPNkGtx1QyU%)k*dD zb|bn#>1Gnu;vWLrar)YT<|mEY(F7BYA`Zc_Lg+qzxR6lUhQHe)Qk&Nz*;nX7e=X#8 zuKZN~$ znN!vt|6*@yTN=>N;Wfd#jy90GcOCj4?}lanPWX5e;Jkz?QXv_>&Iv;9{O>-$+$y)n zENi4EQuo`2tPu2Z8!kyggf5^@muZrFrZ69oL^e=2i_eKFsY; zB>uuydLxtSan0CqA~%Te{^_nz>{+$dk;A8L91h*0SXVzV-F0t&sAkGT^Yd6Clhs9fiVu%gZCH{YzOyiD{?4QjB#rm& zg4$ON`E}wZ>OD&csp0ChP@iVXJL4IyvG$;|Qh5k#i&=i~U=ve=C!X9UF^w(O09~mE zCC5jQOh0-`*Zm^$5Pr&!f^NM}up5VwC9n7_XIk4CiamluU(8RKbvZdn$S-W_+T(s* zQ6wPWV{}5sZ4w3wi4ixgqXtA+5`3y!S`BS~T^5Q{>hCNLptb%_3+X&GE2_|uU`8>E z{qEYC|16(LA&sjxl>o(Vd0k-?yeFlUtX>qG5gC%S|H+OJ0b*~&Y?5r4aG)Vo51|+hCJPBYPPh7PB za_^`(f7A3S+`U8~;Pb9QfsLma(7k?s>i70kqlNQ5$2!oGWCq9r&I%C*#~5~{evPi2 zcdTovHgHCg|A^B`w80NAx59$JDGmTMBMh`(A#oZU1{bZQA5FGE_t2&nOj0LKxyX^P%pY zCWu8FE@0#6f&1$H*dv+QC(r_B%76ncaf2@7OQ@zCf=-&^ZSQYdpjsu zNFVhI_odbT)Hm4sGS#ufIG5@@ap>JnBA8S!)8HVud?F5*!{yf`2rxmJ)Acm%&nEfU zPq(#bDGDz#|$Vvtq`to-JzkF zI))7?!kNe2dc5zPUj~W=+J8opY@laJk8a$Nqcu8xAP+uBc0f+f=dzOgyKvF;Aw#~S zjP{J~OVVOH9|cdjDjHkfzj(0K?~gvGHm18CWJv%7Z{y8A2@$htBOi3V6ytdm5#+1B zKby9dVn4gI2X(LYY;6y$K)Q?X0cT&b8{rQ{70&}{uEU+K#s+t2qbrh(#HI>0Ze<<3 zC01nkSu`G2G`qq$%scO%-`nRDCD9n#*QMrEj5gYV#q3Offlz~aE=Nl%yot}7oVICN zzS@Sp4C~YBckPtJ8nBwI((r52xj!N*llHPNRm%Ns{{op+xX9T?qsQe78_ox@BF=P0&nB*_XfMlSc}SnQpD%0$lCEoDY9xHS(e0i4mF?c*|Rg4ho|uP*-n6ca`?CAMZP&r~DStyhTJh z3ZM!TkPDIlRLUJFhJovhdGyHN*hAYcim}0w^x59kHtz#w2!4SXU(XE?G8qCO0Vvx9af$IXoh=4(r#KZUH2k&*>*p#pc6hmot6?>F89r!r{8 zN)I8~fq@gHNkO92z?|{ScqjW(#4-YKdQ%-ZU1S(MO&yQ)!#GNi%P|(|1P@LIY&2AP zH$HriRDQhA>y#Yk@by_=U>5ziI>O-?Ea?hkBk;Q2qAtxH=XWs+|6Hl}B&qiP8*Q=5 zElGM<@qpv=3|X@CQ4~g*XkK?D{l1DY*AEDi51jPbf-}SR{_h$}@1|gEY)zRcP(0Pd z*n>6gFMpO6B|C-z_7`d|=|RjT%IrRWy*-y0kOlu&cRjrhUS}hdTU(0W-?e(MX>di2 zX)_oQK2yzHJz8Bk2Swe%eDjaGfN@o1gslwQRVP@GK)TTYNgm$aL}j0D?4JyDLSdCt zS0Te1%n|&JVbTC5L&Sgb865&W1*M#C(|$&Me*$8+UHBIHEv9X$#XJ1yQNCvQ9piTK z_g4{2h{qgOX@FZ3mdxa8!?$w5Z?n~b(xrK2?C*ZfoZs`S9EI9`80nX8knqqV0E#9^ zxOS6pq!>~00enWG^sTe=K6ym- zZL_mw*1V3W;l8kDWCdMWe|M*ZLF!?6v`(F#OoWxGz?8a_yQ7h&jcw+~V%tFGAj6Z^ ze?=)cGVKKttrP{}mxNj|!H$p@g^gAERVL3C+E?|P%o_!~6*>6G;C)Yalzva&iN zj$Y;fJ&+s~mD+XY45&eV&tYE~Wf#BcStfB%SECoD(2)-EVaXHxZn}z_!Gv4kLJ+c@ zsu9SuYOjE@V(}J^=%`JM8!)Il5V#3a^eQH?ESQ&+60Vv9NsSTg#rzt+8vwJ0e}L&Q z;}0J(Z;+@qm{4t4b3rto#Na?lOenRPZI(JXbIlF5plT};rj`OQZC?-}NCgw)>nYI{Z7#)lF^6@xH~|-+u)MB4wP4Er|xU2tx%Pe zpopiRaqYc^5b^_7#R<+M(-Q~$oexZ}VAk}Vj`Qw07gf+$rrltbHDFpPFmZz~JP>9+ zj31&z`1Pu{_8(&`wiN~k3lQJDCA9L^E6U0g10g|Af=7casPji{i9+;9Xeu4oB+6L|LAQ?F2BO{`)AO+hb zipq&SZWfUPf*5%6g#kMU;X&&HzGgztyg%eT0qSWYe1*)_Oc*5T=nXSEbW zZaYqP1#keki7N000RFcA_oW`eY3d^)hen1*BS!r=+gC>QJ`=%f&Etk$5*6PyL&Hvn zzWk>N=Kb#ZL8jj;cJufhd^MC^N=4Cl7_|BC9Jq4=)f9Sf7@TQ}6UC@C?+jSDkY~!Y z!u+>R7R}ijO+M(dv}2O}fSJX5AstFHipKlBo)>gag$BPmM1L`b7&{oUWni8h z&Z4RQDl%y9>Oy~Fjn)%1K=NmP8DZj;}B zIZ+gBU^%U5u}BpsyQdxlS9C{>V@q}oa390P-f?^Yt9zoGU|ZZuUU^f=dg?T&u0;cICzFk|RCtYs_9PTWlP@_KO!#R;oC>lKKd-ad6=*Zp2K zHjBWG3asm5TM%7locQLa%;xczfXN2UYDRXelC{~^LPUBLa!B-ZNM-C`Gb8uA0UaQ4 zKw!wIm9oj-`tOLngCjfs*MT?5UDJq9Ny1g03Qd@hbSy$nz^C03Opr})Gl2bq*!Vwa^9QoLh^ldu-uE(0sQc^ z@Tvob#HjvpxieR@i8fpbGwFb`Dy#r;#@n)#YJjA8Isi_iu^}z7N~)sbgA!DgRfDF} zK*Xgo|JZ$6UD3ewgeG^JQ3)0fd+?;%ydT;5Pq0d;2qLrp;1^!o5-Wz7!)pm$OP_@~ zK=qZx(DMHZDBEo`k;{Lj`@uYe-bDg|e}TSB=S95q2>T-P1G~m@iW0Wg^S?nq2^HK@ zQ)BF5W^wIZinlu$sy1;?>u!I^3mb9$$2wq!j0@~};ZX3yKIq*EOf$LX+C&V^?e?bh zh0|H_`mq|!b2YWI9zs9X$h{38@9lvv>JxE(afYzWBCXMr`Wehl*mK0wl>u06FdK85 zHP%@kmECY}3|WrjM8z5@rfuDpfKr!Cvc4_8Tu3YPvy@Jg;3;r{^Dk0l+35vzUc2^N zlHrb75S}L291-)>%NxK#3yGXRLDoJNj8TsB`#mIJd~zF{#ea?qdahk8oBy2^g}E`V zo6F{kTd}Vf@4R9Ns32#k)(7_M`G+ z_`pe9){Kz_1A>`wj!wZ|Ni0h{4Ngm3zdG|&!4!v`g~1)oYay_|a^Ml7 zsILtIj58|tYYy1dxz4xj--pWPzOI(B7ISyz`E*K!G)|s5u-Oj>3z?j(u!Y|*Oyj}2 zJIkp=`55;Q$>y~KXHEz|;A3QIz4@;JZ0xJ{D#jaLY7YX8G5(|!X@<5p|p-4AmSZJYNY{!J^CG9iqcAVAiNSoNsK8q*n3!%ZMxnIJ;Qle`U>*t+-%1(?2 zX~lAG=y~j&!_(Kb7?^4S+tLFW%W4J%*7cGJI^zZg!vuqO`@4R2L8r*9uWzs+Lpws^T`VIV&LocMU2UwH1BA%y;9{Dhe7(CXre4AI-^peW*=*?E!s5Z5kQ%+75pZ|9*zpb zm>uPAJ#B~t3(Yof9hEPpVnvES}oXYr2=cj^=LH5!`-lFOZpP^)YX5VoKJSQrXIH-aHPOu=c zCF0sr4t5Nwm6PLuE};dB(tgp905oRUZXo_Cfj1HplF{Wys~^x@w7OkBMc9>k9En=F z^-W^D*kFD3x@bv${mZ*025@84XK*xGt9m}YS>ZJa!MR`oRD)l$Pk_f{dQ8|84>C{G z5yKNTSyvPsIV~2KOeg27&`l#`8z)`-U*>1aJAZ6mGrO16?FIgIQxRxz(^1mpi(c>K z8}fF&OPPMG%YAq8diSOBV=xmFEHSyo1Fb)a)7QgFRZt+vImp69%8q)YqYm(P? zAIaNc02o-^p7e69HWhZAlF0q!33gpFhG9Tnay^JpvB~WpqbIUXhNrb*<^C7=*kF0w z`=5K&kAZ@0L5I>5l=Ls#fHwwJBk3+Y!@)#aZ z6w*RnZ4URgHiMxTz4RFuck((|B-BAuAw+4L*(ZVuKfblZ$gj*_ecu#)me5cE7|Sf>pS-K2X9|EfFf2ms-pO(Zw|dh@+b}PFec~L<`w{sb2&Y( z*VEWKBj{6hRxwh8t;56q80&9>gAlPST^%Ih<8MLGL0M zs%^#Tv*B9_#e~%8-O!T-q7l8&0D@mf^BD|zSQhlD&foS2aV#FEJdBhK5V>Z6JU|Rs zpk`v^ez5*14R)J``6S0F1XOx-aJSO5Pc8YIpE->@g&AKA^r^$l+r1P zGg$(0i<$CB!>~*J=Fkf5C(TOn_kLumG07{@55=ZMqwzZzbjq%OPFlr4}BWqGn8O4d<$8J@Y_#-7c3E4cZ(q2L6h3|ArSL(Xp( zy&s<+!H6h#*Ud%bo0Z*bV#05t8?U)=}vQ;Qe7;)<1QMwnmz<8sc$-tDN5#)gAgL1m3cD-Y8bp1x`g4UGW{8Ke z5<)Z{a61h!u!`CLAWA#1x}I?4)o4#qsCfI#J2vf{{H@`Vn|EF?DVcfN{NwpxKsh2G z%J_NuDMS|1s$8&!o49ArDruwu4OW5Kw3vcM2Ps+dLjl8^3}+8?y`aJn`_; zf2uuB;YR-@Nx?N}S_2w$`@j`cws(`!Thz)OzN<388CIOJC*Bn!P0DJwl74Ewt5WQ@R9Uixx&p7sD(D zoZ>!4bQC#=*SjL#gnXw+)dR|fke>MFHaX2MyZ0Z6KMjaDoH2~M&QVuWR30E*A{Zdl znC2+sqPX#v`{hA#>+AUFDAIM$FGTrK3%KlWqQFCRQ2JEpQA1*goX;n=tOT{u(`<^=a`rcJ8N zsc>@g&Qt5ck&x|x9#VT}i0f?jQoR$7X!qu+_uAFeixwK^61LcBiKs1g#s@@#R2bMFh4W0{`-`OJL_fo#O7ejBLT|d zTeiTb@WH#ThrhG;&j-TTB(KE6R|14?aEdcIll^s~soke|P{3zzCk*NtCCc-L!x_Dr`(94x zJ+&uNl7?BGlUC7D6qtUf?MyF_mVIV?JF2auo=?GURmHa3G9xtvC^y&mX~QmzjqCph zeJ-M8qLPRH`0E{X;_Wp1z9aV4j;s{bIBOs%<23xI`kuWO$PB(2;$0s;0vG9aR49-$ z1TS2|u@K7xKPW}%SVWNaTevNH1nIny8>n=-2>m9Mza|Adl5oeQc*5HG;_aNRTBE-9 z{xw@uuDAxw%a+b^**j>evT|o2C)pI%67kLmS?Y0{e>PY=64g;A;HTUGM>ZJH`@P&+81cX+2FpLh%abi{#rt6&UZzR-D zNuDVMtWDjjbQf&4WRXd}v zZFYtPXNIxUNV%}UqlJHY;v zitl|Zo7cY$_Sdoc_{ojueJ3N{e#!6V+L@|zOCdSJO(!wa?-N`pgKQgQr3n2iZz#z);rmvP!4eOJYN&(F? z?#34?x$;>)Gs)C-EH{cqrA;o!9EDc&4IjWoio_4adYzdncHeNX-H!@OD1MzxuJj)H z&8~IO)3KyoKZgfqNyvqq zPo~)%r(nCDuSKs5989HWU4F#cbvwZg``n;oqE#89soW+pgF zIb(RQR}YweNNn|=vEchEDVL&<2m6O$(w>WFBSxiBD^377x*qNk_nVkbJq(4cS#09> z=d>c|w`KS9n%Zb3d8eGwne^hbeRf@Ct6pwI4NMl^kDbUQ`7L&QYBckq;|q5NJC-FG zSE?P+#1-}Nh4z6a<9l|WJe8(PX$<%}^9)VVkq7k$vFcgd$#%7fbm6JF37dcJ4JbO# zp?@OE1NEM=l?Ctb3-^U~*gdR8xR$^DeQh(x^#CYtPAd-Gp^5qyRi|9=x1lh3FD*!d zn-%2T3y=T7^cLi4m0|x$Mc(t*a_wL9QgOhP zQ!|I>QMs6?0 zFA+G9!-fN?P7Kz zWI3w*NoR-2WzLx``338s3E+s;=E5z-#*&yWxTB5 zl%cB+w8$g~t-rVqsSy*u%U1ux>SMXqkAruCGQminc<@;5IrNen2{jy=>VYJ_r zN537%vwF3Jq!;a#|LMNKII3}Qx&U2$Z=#nzAQyDWc`q!;yN=rP^D`T-kiAdqK6l}? z)MEIian=(>Ct;|t3*7o4`9C|iNMw&l3@x2A6IhcSKCqK6nOL{-k=&VnmDj*X z&F8mRJ*-2hd~xa#V8ErDr2`WqQ~tDVwd>V=Dl0JW<0~oX{t%KOpjPUzVP&hA~c>v!@)(<2svpLDPN2M+V_tVqH2y}N3BLWsZQ82WOh5-5snM2J0} z`|Q!0iR_-7e&pj7Rcz%)Sx5*==3fLS5Hi!P6@436!LX3%)`a=rV#;0N8da(=$0>!P zJ_0;r0679>vm4oGBy3e-_D9!n0ENLw%HOY_8SIFP02qI{AOclu{(oSL!Sj5ZYL+-q zDrjp0_k38V8qeQ!0ma?_f;+(tg58C={9&J@V)KOff`bQk-b=hyA=v_RY*3{li9v@& z9QUz+P8&S7K8k>sGwZvpb&(yr{bUXW{=`+*Mi=z)ZdjQ+>@<2hJgqky+P4|>>sq+T zRE)AF{enyl+KJoKjn-Vs5En!wdvQ1$M3{>v%YFX?cIgOWNKcL{LdQiknsigfCgUZN zO&`rbq@ZYERc#eUp*V68$9Ad)~C>~%M;LU#BVG+&e~0_@?VRpQ8$Z=fqeIzUQ? z)_rUCwY#`=JZG9+t#a0Wmls z-=@0!;|`azPM4MW6y*0gnK$S~uK?EL%cJNhYn%E~AnWUxCDGKZDWGcXHV0X^0k-#I+Z-ytdW7Olg;NHcT~F+AwPX$S49rzfT`ty#pQG%V;e8q zvXtaMyop)Zn z&Xc;pSqyd?kt+F~ZQ12S_Yag6ZYj#(1=0PxYwV(PY6S%6}b@#d|jMP3;I{ZwB$5vrD;i@FySj^ z6tg=vM{6i+&@WbFJ|?A*Fe?ZUl)w4w`OoQX4f*Z@SQkjd2xCHz^O z6zU`O50J}fD5b=NS`g4&W%awQYfY;BkJ1(ES{0HRnb)&Yx6%%C1W%H2!@G1{;~f*< z;^-qh4ts)P;xcT5|0&}s1N-vp6n7W(|PzY8(lCQnJ zqT26{^zmLF#u7rCEObM|$;9K&YOs2?vDUfEK0UK|3%DTBuvdzAu2;JsN;D4KK00QP zUfMS}Q$|(!LwMh^*$MJ4m6(=_2!+!LVu090oE% zR%BK>ZL`I+eFk5P<{Umnd|-TR)0i+^QEU{BZAjV`;NLLj=y@$xn#WTRUVd!wa_Ws$ z>$F|nmX=Un5|`{lCZkVN1DlRHVHc4qaE|dbyk1<_Vo*3=kQ~dPvYC+c7az+u_a}LAN8oFN%c6L?>-^rc zsW5I;*397u;=2y`-MW7O!w>U$wuPt-MqXBe(zYv4vu?W@D-mdiW0+W-NmcoDFo1p0 z2#}qfC}0#&{9xcb1l=^Du4}Cq*7veJB1`kXbaQWVbU8u4mhomfKOgcg5fkcxs(@BT z6&`ygb=P#K-e48BW%8~IALkOc`)_>BW5s50dZ?7T;;wtG_}7{!yqzt6Ju;NdZ_lUN zp1Q;emp9EL=rw6$N?hIf5y?3j{S0;)Jn~I7UaU`u;r2sjku%!!* zd0%S*8R;Y2*v{1oy`A5L!bhxB#ft}Cc;0`$t6adc{;{ILwUxbZ2KQA_F6Ggt7XGWb zTnY|CCjwh~Z|=qAfN5R~S?ckJ;L3PCKL&Zb%ou!H zD!2Y3iU*nTmdEo~DjoIU+FF7m!bwBk-S5PmLQ@f}uQ`hq*&`umSvn%_a*mSf{JNV4 zqfsW1L;Oa`;JwyQm5*nI7lJi^o_&_Nn0cDD$`AJH$7Ff0W+E*b2ea~6S$&;_^*r+7 zuGVEF|Go=M{${^R_BTqXeg8sgDCYvvlq^Y`WH-q^@!a?P=na0yWM^|;UF2ebsNxz+ ziuv~J&A(*1lD)!jblq?j!XCOVaOdaEcO`WI{a1qhkeSzDEZQxw=e@UQjC*g))^c8j z%G&O|V9jt&;^|+ZIcaF)g~%NfyCtD$;3mR`#wwVK1dt~-k+`Vz3G0ZU=Cvi1{?E>oqoz{0o zt8=>ccfgJ49mTJvF3OLZN0<}eFjG{)68=1myoOf0nSBxH4op!pP>#s5+W8rlK%?m` z)2JMW*<$WVBMarm!_MT!dGjC5hn9^5nsF+_-EuH6aOOpc!DBMcoaV`=Jsvd z&cwFuOx)P^JHNHw{|9*1nl&@`bI#fO+ULHQ+T%dS?jZTdwf1X*0a4%)ma@2}Trd5a z&uG%!t3vwu^#N$@lt^>qgAN)KEjRyoRHV;v8@Q3iHPF_shg}-C?rSd_Kp2x!II;I! ze~32gK-=fL(b>~e3$}vZ8C+zsDc4AmbM!mxu6@QJ7t(W-W zM96~E`#RYSivTJxW}Ou)@OIfOVU(0z%E@(fnlR?0LsCzLFyp^2A~XCZpM7T3dx<~C zF?F8l%opm7KU;pbZI(3cR%cxQAU@3MAK>@&Skt@2U@L388HyYHQ6}h_?^C`cDx0c| z;U!z>Ex@9+)^){oJ6n*`w8C{dq$K#F&4R=Aa#VNcQa{)%q~N(So?Idu7dyI76~#4N z9CM^wTpWd;1nHYdr44l1hjc{0wlWR}kW5*oX9ry+@-(K=9T+l5=%#zG z&is~g7zbXboN3uO5w8@ZQj#{q*Xo}^*9I9=HcG>PHIC|EDr)Zti5R7j-%u0;o(hxF z6pU?b@VP#-bZQ$r(37~^`>YWt>}+}-b5EI$MPEGAiFc1 zWw1_v32ry<{rosCS}q@m@hCdXo86IrtEw5@=l;7~)NrXYc}3}di4(?nraQ!WKcL{} z17Hfd+@t0%TIEXwlROI!aa?#`61436b1&XNX##o7gNKewDDf4)ci*#aUm@;^8_1G3 z96kM@hxa}c6?%Q?A&QlL*Iq%bjXeZJ~_d}sZ5u6e5g z&n!~T!dh%?<|BmK+9(R;(i+&FP`dW6FMGe1})!6&me!GMMZ~J^!hEN}dTB4aRGc5=# zDBP#Nn-NunDMPH+X22mQ*^-J5vZoL}s#qe~0>}62IM!@|j16NFZH2`ck#-Ph4zKgLaDF$x8DtLPbd_`GH1?vf0-9C~XJwQ~FLh2k~3Uw(( zToUICc5Cv>@(>?^e=l5zs|?ws`DKCFaV&Bc98$RIc4%xn8zb+HhfWPz%K2SE=`OuzC91A$7A-HC;ZscrUx>>GFFWKzD=JLnHS=?( z$Ju*6t8loSQJO|7z9XhbgW2>-wpmEX7PIB-C>-v{wX+9;bg%%SUh&&XOIyE>!M)uL z_Alg7Iudcycbbz0q#&sN0+>1b3QTmLy8*7yb0OYoY9c-54W1eFppt!^j((Mbr)^g? z4^q9;l|(^9};y;)eCV#IJk(`9-y`mf8=F4rb{I_9BXZhp*Twt-_l+eOCF4GiXT!>OyNbV+Gb=Xj38KN*)} z6luQ5-1=TZ)BMXyS#qvX$cM`JP&60)@|EbB*$n7Dn`>bLuK9WMj43FMk@=4RA|75M zyQHsh#O|vS6&#_qIb0?e=UxzQ(CS|dHQDUm!O@1(O<*P^Vov*KZ`{r^mj1v>3zjfb zc%2%Oml0o9w*r37@ParVm;NW=pHyeEf#a)ea?!_f;BIr)#XuNQ*Ro;7t3O-gUuvAZ z&%Q&Xy`l}gn$1Hb7Rah{sB#1ah7b6QclXCX-E*)(GZ_{pkJXzi?(fqgsY}fMqg--- z`8FlMrZ&72Qr}rhL*08Hs-orr(DszGqqP&6ZC(%jUciPW5=!48kPCT5N2QP_;M@mT zYcb+N6|qOmf)6Eh_ZuF>vR#NRvhK?Zm(i*CI@0d%p#qVviZAvF`UrkWg=YkiN@ZaT z@W&6NtkW(m#}mr5HD40!Kl#?Z%Z!L}Bzbx%hQ+2KwW+kH6C}@8rvJ4=mSp{tuSu(2 zp5vX%^Yu~TTtWC_SaDb4#5`2zZ00lfK6#C@h(>(aHTnSM_#*uqZnD+;Rljh*%nEKz z8pWJ(>)L}KReF6L29NM**e)@6P+w73P4cH%`mGvNnKx`3`lIr&Mr0b(S{M|BvUyQ! zZ;P4HGKyDU`I~cJ1Z#I^=%dRhN?^K>Z4#YG?3De0&Kff7A>D~0*&yHJ>dNjp;ma@O zQ#>ml6!DKJXp~T)nGX2Nm9WN=HcSvjOeWVfm*E(3EYyq3g5VP3;RlkK31^l#e#!daz8f z(&-F*4N0ccn?V_CXKWn5xv?>>pE8AQ(6|uxlEK@gGn?sj3gfc$oe2-&x38$6p4~-M z{Q}P5g~a)8LvA>Xx1(dsN;(K-axv;Lm#Uy6U6R4RQis>`O1Q538UAb}`5n7n0S7HO?N zm9dUR2TXs_;VGldaLjw?*`S>+>HSFtMsOn_kiivYi+oEj*9$zFfM^b~I%)U?v8=}ssmTa|UQP#} zr4GX}&^xITB=7k98_j{$qQ)BHO)L@eKv@a}zTjGzA#I23AsRZL7!M+q!XNkgFr4mv z0@s)|d-E!72BGcA3oG|>Vch~Y9<{bkZQrnha} zdj||vG~OhFvvyx0u!GZbneT<*6DrD;;9CtHpxTAwdmvm7C7VXMbudxBO6R^^K=cMI z4Rh5@f#VKyspY3O*2kb;bWOR6WgnRPJEs7JOMzMRqC#lNLpq3Pt2uYr?DE^9u`)XO zQgu$1Xgu1X`iC^H-YH)O0cJ;9F5a*TxNik^HRE0e7Eb#C z=dT^}oY>j{(fvE_i^R>{!j8NNB-3QEThJgfpJw#S4Rg93+xL>Ah7btW+5SmEyb8=! zH`tCWBjr2Iyy{UYpz6U##k-BIPO@=~^jh^u!IC6cvBBx5I8)!F;X)>Q9l*Q7)9B>n zQI$qiu>T45a~Z2k&$g#HhD^SkcKh9F&{UB}Mwzxq?<{?yDWiv;%g!tJ%bN3Z>J66s z!;HbP$*DN(fbiw<*Sj>m%A~PBOHha3lUynJj%;%J&cHF(&W@M|Q&={QiIyl{?b**% zD0VCfU_zY2#@>qE!^Ekqo5Qf2`*v5@WmF?}XYy;lBo)@x()pZsym@o?L9-XQeYG$j zl=a+-sukuv0$gmKQ>G<)^8&b}wAbtd;McKvj>3^h8ESP97*z_APuxN>K@zuCY z1p%gb{?zgg3z!z3CjXW}bVj#^3R+AQ<1@|)G;ObaOU&|K-=TXC(je8L;x+8%6{q|A zH<0{~OII95;!kr3wI9PgRcPLU7jVku_|V7673~y*)J4JobcEQNINgvW1c3MCEs-fm z;h7Yu5cmx$JK7!eR00V48;}?zcCIM`Z;yUW2>#E5y^Vgbe0dGlH3KqOboC?z@QuCu z0pA+_SVg>50T0|J^?49gr?iZ^@jZ4j(uK-Vlu&C|oHG`fhq--zKZqMPw*I?-|> zP!xGwA~rqnuIZ5tD0{!oJ1xaumLO7j<#+$Idi{NEIP_nppL>AF@7fup6s|h1(>WM2 zgM|}(U5DA+w56*%IG=IUG8jD2qgW>TS#skOY>hJH2d>P_pQanySvxVxQW4S`dx6P7 zTEFtjt^0YdU4hSifzmKBBJWl%AB|WY2-WYbw64TuCPgXt;Xugtno;p( zzQ%Se*Rrsb`Ig$l_#-@Rh!2ndSM#(bfrSk~G^TmK>^4;fPqvmqr{(Xv?iUE9W1NwrOa)1d*DD|(1s)S^qiay)g~~i%BnA2-=q$eqbCi{ReGCW zhyiNow{9AW8_<(5m|g;7MqlWHW@HZP+onv{XPYEtB_ZUi?i|+zfPeV}qEt#=j~G&8 zv+EiUNAs8bfX$hQv zS8g8!(uH`5FgugI?_7SSAo&^k%55?25UAfrSz6!CW|`EtOlqvl#kl|56uoN>>WX&1 zC>X|Me?^|8tDjz$;>xBn$i4o$!H309IWL%%F9riG)oH)(uFrx)Dug?~<)1#$wXD0p zT4;4nk34#3Fui26HvB2~pcBOcKh1sIGM6E;dSv&MH}KPfq&bwtRlQlhQDCGid(76L z!?Vr$CW1|)k214US@CWQC_NB-0biS3EXysuBokGn| z`iIi(b&fl(d$$vB=A;akMb^dKqdx+(ERtNQmIoSZRG$+V_l6c@d&Eo?H!k|KRLum* z`&8cvjI4jk$Q^40ll`p*#IFP;lHHUTY(+26h5X8UzbT3V;F+)O*?OrAtfO>|G!!bD z{PxLH%mHN((xJ|B9yo85ogxn0-itn4lQSq}3tT^x?NqkhX^l=5-E1Z^z2o0A(S2yX zR^=UI?cw;Ad8P0zCk}tbvk8wfHz>O4fZ;MO2{@Msz6<+>Bv!;xKk`iHCFhO76rl9QAyF;@2-ccX$^E(VUot8}%&e$YmCDPf5 zzFDu&9FaL-Q*-!OlTUBns(&?9q3che1}VR4*IV}HVU?cO8?Ji#xI&t+-m_5v$l^vb zy$ar&7M6PsiIR?g`K#v1fb9dEKkheQu+Lz-cK=`jTx2N^a=MZR=D$&oW(Hnk z@A0scC-ytY8LH@KL?V3@`PtSOsD}>9bd+CaGe;UkFbyyP#C{EsN zyd3dTLXQDX-Cz-Z9v?!*SPCuj3j7;4sc(3gg?8oamUA&OW?f>Bt=}uScDu`#k`4Wr zPG5DK5Hz<>T;Qm3kY;#Y z+0Id@={wV4Jcd=ndV8tJ5d&i>p1sQ1epb6cv%sv#;Du~_@9`ah9H8)Y5B05UTr4=_ zydmB1h8gAgc8A>E>o39jw)y?vTRdx$TD)wTj_Lpdy^`w-S(go3O=4_q9z{ZQ4~%B0 z-W*#cRGbdpfebeDD~twKr5(9)A`fl*_a?u9-W!O&{9rHQ?E<A1>QG8`*U; z5VGpWWsmpc&VO?y2j3wC_aQ=bEw18iAno>mG1JTs1-FPuwxzT&FhLGefD5Xtr#`n( zXsq)(#Q*0uX#~b2!$*)wl|#V&Z+}LBQrfdNM%0RTtxtB3NY|biOvw4IY9nlK$lu1x z;mc?JDBRHhu>e0l^_c1u?i93^>yO)Tx_tu0k`PNG&k-MBSV^3?RT zKB()4gStM;re$fe44F$%d{;~IykDfcuesO(O$3a63bu8FQeg(apDqAkrIID4Teqx* zbM#7D3)iTiJu>?0FRH8?7OODr4S+*B+&iyEQ>!h3QEHu>@lKby$e;kBuX&SBB%gZo z={q@w3_O#3l~)fprp=0Bm$T6Dw(%)Jp25UTRJit^VoP}|PCQo<`|@0=WS<M$*zJFi#Qac_2Ks1xa6F`$6k z;wi0`c*g1}b3144N?A5_H^&pJc{ye8ch>MO!@=n#ufowfLZ}iT3OPav+RM4nMs}oN zi|*Ct0Gq$gy%Mc9rlFbJcPXah8;jOoM%C7YDf~-|)}~=c5))Q*>Dl*QWA|(0dRasC z+bLK2iw*md-vbFR9JFz;TK%-t$=(F&3N)ub6R9G-Zdv7YqVV?1PD$WW3O4gpB*F2g zHe~UhW<3=!Bvl1IQn%bsE5oei5OmmWq09~C&FD$qQeQ@0+cf{4tHVt>Nko&VK(`DX zD2t*NrQ;Ma{PHYZ<(bqz(lv8GcdMid7iruLfgqc+zfvbZjNIDQJ3&*LU44JGOq7w{ z(DF)<$xg;o3-oe&%h*frys5fqBsIhLJTnY*m#)z9P=7_cFNa#wS!>xT*^2RdL-j=x z53YGz)nMjc1do&#uC6St0i2Xb>M#+rM)RghNbmD9H0F@Zyh+!Q0GOatTq;Z~DA25j z+kp$^TKsuy)e<&bkKu2c<}fl)!@7COpkot~`U^}d*q$2B({eDE{jP`_mfqoQUF^$n zNzSonn@#>%`JfTS=FhXkV>(j}V+GU`Ca?d8t9EX;SU=i^P>d-?o@L-%unl7?LAmwL z#5^S^(_krhLG55A8Fhs&td9iS#=d5BM8_cqgSrLp$Vcr~~-z9@S;^vBhjL*>ao|VbowAQdQ(tmForYMf5$od|MvE>`q;h&wEy|M|$CsR>Bwmjp6T1 z1}d|Yca2YkUXC!F77gTx3<)c92BvyLFOeW#I#JdccOv{Q&rQoEGPf8B>UN=))|a*g zl+U|lWDd;<7s;&^xtbq56DZc{)-~XOz8QYggCBf#n93g{Rj=~qiZtk-9x$wCB`o=B zslPIvDr4M#s%lPc&vO@OgJCZSM?bA1mt+UjL9}OsC>@vc;Y?%i=0Y67IZr1k-)CD@JH+ z8Y$@`M=iuMorlCxt3%4tZTFsPh#m#^z1J5y_KFAMdA0&9s|(_==MkJ{#pW!U$9n@B zaeE765S!7X`d1^DM4~iq|={kLY#BYkE*G~W3J%nTi zn<@G3^%~KmFeR@mVD%gaJda1=CApIXI%d+2-b(`;OT++wJK*#Mg~cyjaOe_4myLzeJSx0 zJ?$y?QR#c0tgP zGaRqp_){)w?u4g}(aLrRfpp4?y}A>xq=rFwZ~kvE17kR?N@q7C#$QLyEbHXtLcbMS zRjGp^j<(5X0U7g27EYe&B%sA$?2Uv3daJ~+qCl_ zd)_boAlNiql%8?apn952OO=+tl+(JDm|yk03I*z1c40ubBJ*i7J;;9#@x|pS)9%US zoYXMyIT0sTDba*tXV~IZ+HvOPDhq{*6i_C6m`9&BaY z=Re$jt_sYmb1l~0%se1Qxrf_AftJ4O(RWl`##;=S9vISdA;YM4iEiTi&bbkXHp8fe zxZ64Y(6YT*CHKE;ZaxwxT`OOJC01LuAo5YAeX>EYmzxfi`{LcMEA3Yl;ziVf=hrzDg&%`^>JuRqEiZ#R+y}JJp1h#l-SAJdy9dpl*XR%0z71-~05{ z=Z`VTr zUph$4>Sz#*A23}ylN6e6?rp@Jl`5gf%9>U(UXC68b~dlgxiP}>i_p4rJXP#y`aR@+ zz|@kZ?xG%iSkJp4%EQ_%lKPf}}A;uMZY>1sxnJ)Gx+Bfuf7-0b!sU$?T$a&0^ z6qWj8i+bj)&U{ovX0^lVo>07OV$w$KzueY}5~by1$c+P{0udY!-Ft_^U%bzfU}M^h zAW%C#At!9|z6yiq$h{?lcAOIRmuhHY$I8MQDimCZ#swq`^iOI}*0u3%?{={CDiFV| z4!H@=sO+3IMZ#^6+N`%BpED4sV(d_T%qkdjSF&j8_a#sX#eo~vMdA1hLz^zV{y*!a zT74(}vz^M*_^?I7=;q%OImep6KX(Va+d=$cJe!18(m*NjYl{oYdn52KBx*+TQIBX_ z(9!J~CKB@RT)upKnNw0mYwa~ES5ymrK@w}jLfhHPoaD8@j!pgC9Z%Hk*v{<_UsS~u$SbabKXXRQsDs4K zyzCr9N48_W9WhH$V860eJhV&)&HLbcz7hZTUG?;nw3uasN44!eSQfB8(yHe?qhqZQ z93`fVQa_uAv50-M27nDsu->}J@!F6U-@>XGLteQ)`F20kU6p+_wU2)B7SjG-3P?HK z8~#RaNQ!x32z3o@4+<18^3%!aHSu52n;DG%$e2<*S4d2?^ja+@NYwhXm4%wi1HV(20XDipT2X zA@ON)n=j4e+|SZzn-`5jiJMk7IjibuxnEHcv45~+7X_8=3t<@$Z5^4(KaMid?_)-| zxZA2i?ec!LPcg&Ig^2`YnZtv^ z&qLBkc0#W0)mG;O>$UB^I-`k0A!(|Cy}46L<8yKXh0c-m7+OtVXH4 z%Q9xInwTZgy%%khRMInYCG6|MTJ^j(AaWknPS>i&&KVeqkCZhxEvif!^`&A7AKrLg z)6XKn(#=aGj<`XJF4C#x)6*X8e-!KTS$6*p6X6h;OZ_r$J(rHe13JV_f`r53Zd5dJ zB@pzy3K})0oxXubO}k^nB1qCA(i`5!#L)RQmPZZSN|dY1zVjS(C4Q^;YU82Rd!bTB zkM8>NJY>4NTqq@2Xl*Rh6;~|kP4027Yf}G)9W%gm+uc~I4v@)G=^)#r=;%+Ed9tla zkG_jq023}stM;cr=TcZ#?ni~vK4#oQH zHN7%(3-nx@W7g}iX&uCg#N-l$cc&#QI&6>V#a<<#zIi&>rEd}^c3(oK#38h}!R9vu z8y)vtUs-_Gs3>69Cx7_d|F;8-oSvyAf|7K?{lQl9j$P)W^RwzE7^@_bD43It<>bHq zCR0(#;reo05Jx0_xesOc`pmb8so}`DIie>4p@ESe@WVhLAkOcExX_$?B3sl_p|O9W z3=wztVLSOFq$IB|mdKl~)X7fW+?uLGgZCLIFblctq#sRJ@Le3K`ldIz%j%;cJG9)?IRkE#U(o980TyS zgnXDN4$HDzE!(tHvTj5&m6HnI?tfge6w!?0|2FhbkA=Hd^Tjq(ozJ1c`*q933p@Bf zio&M-4axT;?31_PwP_(c_hpTe-)Y|o{2NGt=lTfbQbj`b-1PTWKrudy^bPVXS@K;` z@Y_X5@v)g^%s7gh3s?MP6>{akpZ!nyiKHpQVEr-d9Vmnz(vIg`yz# zA|LU+s#gi#`6*4j%NfGo_R{G4zLKk|RfH)I+u5kzdms(2@1Ii0Rr3`UxsE$SN32{e3s|5_8VyY=&tRt{*{^+D3pL<8_7ZHlSpD|@L51aD>~j|#s-&2VWW?-#NSJh7p0zNl z4It}PJF^7|Qw#5b-jj6 zM|Ur-upaFbj?ggE3Oqdy%U}(i+Bj;6O-E_r&w-1eSzz_2A}9fjR|49 z@SM!-KD}-StP8IrI~Atw)W^@2DV}Vts-PqhhalZDlch~TJkx$xYe{=Y5gx2qB0Cu6 zY!Z!7Bm{(e@(B(AUy$V2jvySgrg&kE*Y5WN!IyEz=5G+qw&%jl;>^41M#x!4f1j;j zX;07qJcgW%}iPXt5?`k^G8<{GnTmgv>jB>obw*Q!WXd^ zK$3`vTkY`Kw|q%cZyL?-G*j;?`Q(Wkbucnvr6N6m zL^~}Qo;od`6T~}U;vC7=Hy|x0K6BYk*P&E0D{gPOUV8_(((0KbUqy08b)~wN`;D;g z$VjufSNjtR@?g>SKBO)N|6`1|?q6pOF7~cw`@z#D`5g9B4p6FOrdhme887KR?X~6n zA`8+9>qyBWujbQ>opS#6d%SO`MSnqz8^-UChGpwIX1pN0?yqRjwi*G>SF`!tOJ^4? zZOR>Jlg^PbSMe^zf2Q?}4u2$Bw#mWEg$gmyKELZ4v+9`R*xS?GED!2;N3&?o@J=}f&Z$OxK~vBz@KtBU9TN-!<~$;vP~t-$J4^h1+QnkhH7dnoID{H z4_e)gIxXcbm8%MH>owr@*&S*hPh4#lJRrwn^{b@{TyO@J+E5yhHbu(zElnmXyil>6 zl{WJO{8@vT2!;}vMh$Cqz%Zo6YXG-p5IPyVbw>f)S2u;%aVMGTqK|>mT<32cO$Cv4 zhv#1ZiK;!&KEk`-s-&UHa@v|tG!uPmX(=~+3`W` z;&E1VVLgS10=0I{r?R6{Dx{#99dF42=zP>UuO}N8&6J1J@PKr@p7+GD`sXz4kzZCg zB`yk0qBDzC86fMeYN&V*3J*x9$)5PgUmK|$sq#ISyVKI@XwfRBJCQM_th4@b|5m4@ z^ zH(lAO^$bn+KxAG2!|EbXM~C@9W7f3W$%SR=ulXE1zI?^AM)lsk2dB#mUX4vB9N&>> zD|K&pJB&<{^7{Y9$&cYbO&6H3Rs_t0uVUMIeWNB4;HP^z`n9|Axd)Axw2D6~BJB<{ z*uuatMS}+=tQG?HS{?LEQZ#yz?iAXc=U(Z2x^JqnY_NI<j>Qk5ZvNCo8|SKe1>%(F4LF{EI!Og(#tyhVVvwI1zq`e0X3x zw_dSmC3RVu9`VkvQ2)zy-W_O#G;Aaun0Zy$&yb$VsaWm%fluGV3}%4JAxh(cY_ss% zb!ss?5hi(TmvABP2axI3s;c?r+NG^vMVM;O@zNBPZGIENtfJgzGPmx(s-q+Y$HoQ@ z1dQO~(=tHx*2U(C*#*yfb2Oww{Byg2WHWIcu~_^<*_QxzAHXF%low1jS=d_^2(0#= zJutd;+cMIIw7Hpk;rxn|Hz`gD@&@p85A%geVqTV7ps5>Gh5-40OmIxyXrvAm2X$J` z6%WY^|AQGTHXN!nz7JugwqZz7(>mc$i*#~|aNJ)pn&|Y2(`XESrb4ao#=Hc-NdBYA z-8?aohOEw~sMgwwV%F_F{YneK%<`gDfB|n1uJFO@!H?m8COT>-vMxQ_%zUc~%|0@G z%}u~owuckmI~A=mtBE@LU&~|FkoLV8wIcXo-AWlJ?(09!TbhkN5DrN}w0`w^ zB$vChBt{TkHCvY&Bpzr~?u-#S5l6`WHDEbM%HFXzPwqH7plsAOeG}yR?1LljR9j`M zcfxx5U_H9rK~~CHdz8BJoC#HPS9V;zuMzG*8>3#5R++#fBi8iQAxP!(te0zVp6D%# zRIY|1&G-KC0EVaiJ82VMwU|eADHvXODLs_V7^>p8-mZd~&bhD}(h*eqwaKblw80X7 zP^#$lOuSC=gO}Tfc503Hpgmm_XAochAp83cJUs zv^Vp9)P1cw0dN|iXVPDkqjZ|=(&i(%lUFPsSTYNc!Lx0pe^&%{_db-o@Fy3jrL{Pe z+wG_!mCLDv7ekjktb(@;EH0IT7uXo4OBUN;+@zW*e1FCiD*M$HCAiMBV|yHJT8V1E zi3?Th(eQew0e}YG^Z_HsD!bp_{Y=jL=J6b^P`8*#VR!J-bOU9Ws_Kk3Oti0Nv#tcw z(virn&SwtyInuK1sv;P%gYU8*oVX6f>J2x zbDW@ERn@>po&-NdG~A8FW<~nsv^Y~J8_zWZh@I-~q(xH}t(w_1=7_PFw8TcC)210k z?I|wmLvfww!%E8>`_U~sh)mn79}NNJ0JYk7SwqV!`er-J0;|^keJj7NjN;N%XQRdKu1eu6hvfueS*3&zYF=yF0#QyKu!3dY%zq04{UDbYft}HI;z}!f+ms-I2;1 zWCq1tBr)|7)bAZjXi&Y?`D#jU+jTQ7lNw(^qJ9Eq$Q&(`ZTt-mb9zT5VR-+K$?#QQ zb!N-}H#cYnu8kY{iSume9`2{7e3*_++LSncC#H+;(*m%&EMS2BEo=*MIb-J=|3C4L z8~ZJ{N3hpi;Z_fS6=Y=huTV|x$fpS_6(fp*42)k-|5a5u5R@3} zM4_&HnunxxgtYG_Erhq9X9RReTxL^%3cNWje_8&dW?&_niJmq!G-n5Cm&l+hp8q}< zO4YRpacAy5?aAU$C!VX!2whIrS6J1i)dTki>8`|0HBXB|k`TF_ zbfY@|#{%3v4Kst4%Lj3)Cl9c7<2QjsLI%->G{{urUNoI{*V;jEdLmLMnJ0JioHoTp z`QwfKb1BIE?ckM)68f8Qq#|ejtQ1xU$$flP!)Vl!peJrpRS4HWXyxGwoF&&7AnPMC zP?B+%K!yW4>o-w&yfq}wEVN20@~p$MC!(=0j5#JN0# zJ-+ZBf9nrq|AhPs{IeEozh;I_n5=r+;{ER_UIkK=5X?|@d@=HAi#cLY`6STyJf?8h z6j(HJlSt#!Jch*>hd42c0l+}bF*j77_`U7B?_eZ2?Y}3%lQ3e`FtkFJY*+FR#w|q%%MOm=?>x|O zD_)!WK;;B~&@TLj=i?+eUOjCsKS;Tx0_)j{a3c-j6#HlCG{A+qg%WF> zM605FOqt;xyd?t6GDC&|hteAp-HIMHUcfVAmgANl>K`_i-(v?eoZAx)aEc)a(PD8# z!j~0@A}na!$(>%y_*T`uXJ%97>U1_T7#%r%f;WZEG#gmkI!wI5zd z-f=_g=n41AmhM6u9~E0s{J;2Y$W>_GG_9T*y_U0es-)5F#LpOB&c9RdZ5rVCpYrOK zLw{?Qck7{|8RL@NLsrvgXOCbxNSDG(ib7& z3FSZiK3a67+ZCDhFV}kH`b75g1+{s%g{UWLN_I6Lt`cQ)Xz$=vc~paXFUFA0#Q<}Z zxn8pmPxNQQBdN~z9S-k5cMJ3RS^cuMGxh8h+)c)IBR0Y5A5>d!>iQ{a2J9)G+}6h~ ziDanJ-qw63O?X}zGQfL_XP6CSJ2MGL(oi#qXj`;>p)Y4CRa%Jl`7;pcYRV+QMy`{B zVhH-hEHywn4E5UK45#j%y%Qx(hB~!P+EQ3%+7)9+aoMz)jUJl4Ov7pj_UCnT5JiN%hTC`a^~49yemV&Q!BSKc9_%rB51t0#n*>qLkGyl&ES#-g z?5^u&Jjd0OsL?`T#n}~B_orRuITO&~wtLOk7~+ux%GvYww036>0grQG^>vr4W0yrM z5IEAf9pn0ZgeAcwpIV| zq;$N&*hi96$!>=-hTO^O2OWHJ*j6LSRIPTeGJ&kl!xgoAU%$0vXW{*D_gsG4JYQc! zB%G?+5yOM=b7b`DF%GkT`6ASIH6n0qrU=~dmENdNArX}Pp2%80_b&SGLpmQbOzk!i4j*mCnj^HaFFGR zk!Z=bo{d++nd@%VsinglES=AW<21v62XJ|Kp+-D7lJ*;1&;i8;xXA60rU{pkHn8P0 zh8aomjvD>a~k>)Jccd)AUa=w-IkqR=gx0BiuGDB5j^;R&L6f?^;gW ziv>P0CX*BhP0LOjHo4*D4$+EA1cTQ^No`Mld`BrtSke1aVErc;UJh_d)u&&8r?!5h zB_zp-5i&B3-o4ObNtGOMvvcYxOl7I!Z12wg_WH~K0DRn_wd(5~gClZ%=3U;F1FJSs zB2V~AKV#6z1jq)2H~@#81COea_m&-Oc)4`qkG`22MMv9Uf5+mw-KMERBt&brBiQAL zc+5Rl88>HB@g-Yr7#7c5Nh?P5S7O-Q#E@^&%eR%`HKRsv_z_2CD4iTay6^|S`B_ER z#44#~SI%9Au2Wvq4W8@C)W&Gwy4*}lpTE?$++<^NF4i^X7$Q^Uu=x+%qPI$49tWMf z)@rVf5-66f&-z}`cc{wlRFq6A|Liv|qW56r*tgj%8d5YD|>j&Oc zOqvJYa&N75wTdvwag(xZyEe)q)w8bI#0uK(pnrAV->hJuoDU{HQ*D$QliZQ}+9C5H zZzZJF#54H+Kf2}kiwW!Fu*pm?&??BVnn*Iw)H={i)!KF@3nyo+k)DYwxAa9;m0wpvz0Dr z2*n=|-4wH%@(3d~Us%F?9{#q?B>*f@lcIYLJAT8+d*6Qn9UnA^A{QfEcG(eOUUUsQ zP#&gKhsgSs8}ZpK;V|4H9%xDF?18IGZVy&@_I48$uT?659TcFimh@JW;s)4SuG)%d zIPtvy-toO|mx{mPS*^yfqL84=*qaojZOOGG_u>7wgXm}<7c7#)cfTG(19oL@E$olM z9PkkM+(TUWZ&Jk)u!J3IgCDT<+X=jdrdycc-hp*>%>l<6A%Zh;7@T7FB9dOdqc3W( zJ~w+53O@K$DFfJc&rBnMhCLAAMmwlzdLf$XEftphl6?m`bn7^Ndi0lI{v-|@RTM_+ zcX|2;(F{cZojrna%19o_ia-jBI+74Oge$0j6}=#v`qj%ujdn}DEq)};TIH)cjIzp) zNSeK>a>kvUF|MGiRv`~)-y?gWIAGV9eWedLAb!=g<%#%3g4AmqKr@IV!&+M0utEb; z+#&56RDv}WukSjNI z$vbLVWtt`jneb}ok*x%U0}n;#?!tjb_@B+A&;_A$wM;4Y3|)bep}-5_Uas*Z!+Z}T zs0LDyry9-g%cCyxMv1KKBXUtsp32$JCG)ETjvZuT12l_qS!h;fvg{n~{rK(k4tn?S z_Lr1z>WCO#O^m@&X@^iO`^F(emYdMWQ_3PUQ5nQ#t3>waH^HeB1Xcl z<A6=fsr(ng$OtVHlL<=9H8&$;n^=QX+E|Nn4(?&G+2`SJoW~+x#s&BLwE@Gj-k>A zTI07j1Ew)$mZ2Uw$Na$g?-TW=u5cD>#OL&_ms5~s-@oP)&>InxFur0ABZQyJ2P{bA zJ3ym5QDU&n=$sji)CDc=3@{s-z0!xeo|}DruWzoQe;}4GD*PDhQ5x(TW--~V{{_*5 z{CSIf;QLi zpy)5pn39yAQ-PM4#J`&8_vszF;q6zc1RH$RO%X~rD_{F|0}n-1AyMqrDbj)|F0I@2 zg@bjjV*e>X;Uo?r*3j%$d8M^p@K&_`VK%zPEXapmLAO_*Hj$wRT`e?Bb7@|Lr8t9E z&;&?uYZTIeWJ*#uiYNm#F0w^em&n6)eFo`6`*F>uI)WqN@VM;6?d!lnCj)IPVMb`hdQBhPnL3r%0?lBph54inRF>a&$i_J5sLMsFa(BjIe)Hw zS{8?;V|p+e-ThPgdg+GdH+>G_aM;J6m@UsOgH9{^Nesdp7$jl|R*s}+}cc00_M7*2th^MSFX!>DqUsO6^;3;n0q zAh=xAwpFl)pJQl{M=i5yI_1dPHp4EEVxKkzW3K{+_*CX>d}u&g9`RZZMec?4OLEfJ z;dhoDDxV=nOgHg=|H{<@*v7@7$#&0ur>~}5at7NgAyqoilC`+;QeFR#rmGBUv+bI} zrMMM`7I$|oEzsgp+>2|lLU1TvytrGj;u<8l6?cc=QY^U3m*<`Ner1N4FoE3Hxz5?$ zv)h)$UMgsl%OM%%jv4fg0*;z?uufP8((kCpL_8a38l7~0e*ELwoA37)8A7%!Khcgy z5t!VVFd){?pOSi6XVop^dnHU7|KO17fNH#BoQ;Er(Qk>hiPf5tDH2ZI?GE%OH8^K9AndiO{H_<5v@QY)S)zNyU@0DBR(0`_4CDygyN9c>2RPrUJy1Uj@9{XzVxewAGK8dzZ!{6^& zI8kg~_v!Uue#aLK>a5^NpNgqgQkw5em80muf!MEep>UynuaEPG+YTuV6ZtQia2hHV zD;mE64pBZh*+~UcLMVCks;ZT%rljJO_C3Wa8^n_E+hY6)k~$xbC2L)=me3PW#C8Ph z%gfy28Btp*?TyPC|6tDPhQ9m*v8gSSSr=QcF<;f-NZ;r@xCD4|n}$GV-ZXoA4}g2u z)O^NPs^DS_oY`ZWqMvFDF9nGG_AN2L01-pjREXXi!$0eYiC?K(K0xT?am}3;s6U?} zc^GKlLY@a*&NR_n?xMnDWY7mNmPIT+^v=?CdNPWptu#`MR*l0?wF7<=>cHH$*4jOU zz@PXY%kk^qG(JS4MQ!3s!bQ8w$qnJ7my7kfogs^<+3)_!Zx(?Mf(nj3xt?4v2{+K3 z$PWZTX#MZpNMo-;0w7Y5~K#lT5I^Mmk&i&m$|z?Nr^> zw1@?xfq+L3jyVkh zl-fh<-lTG`$WJPOqFE;A(8&k4zYvm3ne`n2&HrDOgu2?HaA(+8rrvP=O| z9u?x46kp{xIhLU*YtdjEiu@i4dulpvqa; zPj7w+6V`I6b4$4;VC6^6KaSNZ?H{GBR{DW-EO-DWOB;wSJv8A*bNk$WqcU5AQ3*1z zb_o@j#^o`o`Mm3D!Uq#l(;%-IJD+F!(-4=leAd9oCT5vY(O}#SYK{@1#pK$8wK z^E$){DHB|%ekz`8E;FzA?b0{{V?EN<#r)E?(a#|b7)Mf46NYhY5+GMusp)$`FkJQp zI?@7SrQ!)06!ca0?oncggzvMFVT&8z2QAcE#}3MWG_?ov=oL;3ki$yl-6*P-r}G-P ze3eKl-4w!|Sr_%&rk&${!73ORG_))NR;qtz6J0>qkugDa2opSML-juS++S(^M!{{!($;D zy*aTe!nLhD`!l^}ZPu5Pa$fHh6|L48iCX%@&EKuR4nGfv&?XN?&-_|VY`|dEa?HHQ z_2@?|)&0%8J3D*T*PIHl)#LiP({4Q$*S=tEf8xdokfc{sP$7==nUJuCH7K`lYzE0pOgChz<4{v|#cMaZ@M>3m9{ryd@2>4i(GRjt zrGv#?VeZ2{l##;p&Whq~-c#=;)RCqsgz>Ts9srzm;NYt~e0x?Te$p%T?UO_@Sr6|zDj2c|%#BP{dpx>6Us+Fy7%~RNgo003scv#@`PJ~GW!0B%L+s9+ zR8^2-U%Sp1{~65z2dNB2q4PugK@q4;B2t>pNUrs9uGDt6cHx+;b53udk#Y*a<_#X` z*txMNUv$7D6UWnl^JaW@5WMj@AVz_*kpIjL(WP&~S>*o~-e8!#*Rg9R0ad`z z0&V@0XZ=3pl9gYC(RJ7X=5|e>>7$j@otl;D!NN$l+-Qr_LanGE3vfJcfju=ij<;Cp zJDq9!RNaUq>jVoSB`?Z7ReHGhdsw)Hdr;f>0jAB^{4w$m|0LV3;5e4SB@Il$XM4+} z5{-n~bx+45t)xcl=hq1=H8`**J(~Ii9Dw|L`KtGjvCNwL#`<*KI!m%vwwUHV4?Zi& zmzTrQBX%L@ouf?WGd2VF;;$Yq4Vj;$?JMFsIfR13v@R*B$8#Qf;5n6ZFmcf{o)uFF zUn6(!RVX(g&1e1g>Pmw>QT-H2R@jx=2B zia5DC-veE_fjf=ptv(vuS_DHkho7q-M@iJ9!oXSfn;ahYYAS;0z?FQi+gIqW1*4qm z)h9%>{sYm(YK4gm5i+98>n-Kdi@t#aY*x+mqmiL2n73P9)+_Y=7q)68l@!f{g{(uW z5U{TbuVI`gwWXn*ipNm9yS-s*3sVlllpKcxR-QOI3NB^wGl7df0WDKk(U0sjMZIWu z#3*$<>2(#s;;gr-mh0DZeOKl5PL){_H1H#y)cJ+2e1gR=0us51n2Ex*$_)VNPCj z9bH!)A+|}kE+daq2!uE|pS!-!9II`bcAZ3(dXUdVA_V@G_D)~ix*RNtlmIoXGk&Ow z2#L#n7d<^s$&-AtJJ0E;rMmhF;6(CY`xKBfunap7m~RSwKy|16^z20RE{kP^Kvu7n ztTY+G>~9+@V4gSlC0w;=#!cjnFYySsJ@Xrf$T3MgNh*Th@qWYS^H(0@b!&A8rI}9NPmY#Yvrep92WG#K2l?0^U0n$`c2c(oaJ>qFGO`%Od7p1^M(k z-xvkI>m6x-)g^KITUX~C-WV(=DpXh?R(_Ocdgar#`-|C_@n-ok$=jWqFUa7{|A{0#%rd*CG1W-XY%UGfMvAz>-b)pc*wUl4C^h|WV zd2YO3CM%9Dc2xrAP4K@=vFVA5sIbI?l&&r(OG#8`Av1CJmVL0=U1d*?(Q#%Fb=RUL zt19d^d2DDj^ZT$@CT9bopJEwi^E$0ktu3xNt^AwK9m#HmVhR?&4$R7 zv&)h!o4|SFA5tWF7%BSBsWgui)y=o3FIXKGYwXR;rwwn>aTci#lVuK^{hIof0-KgFZ{KAP!t z+7vm)s}C+ey%Jn%4~bDXjcy@ZMtR(BO&Yvn(oDZYYNS~IfG+hx2G6lR@bKH0;QPwE zOQ1<`?(^ai&GI;;^X^9AZcNHHU5wGt1r$|P{T3F%GQt;pR;&*p7HZTY0nTnpNG~|1 zj|=~zn!0-2{D+Y`pEKCmHw8Nae($!Yo?UY7<0D#D+XXJ8DJw4gXwO!$w9x599&g9E zf|^Aq?VsZVC`Kd&UAXiL`b<9dsC_U4mLl5ZeJWf)!{0o7K>x_ zj6s4z+~R$U5El2T$K4bW`5&FNl4f{NS zn(u>fLXLbpRedjivp(_S0WW#_Ny(sC@;r6Qhm@7XdOzoGini&GYElI6V#ctG6Sx4V z8z;8)9=%)!b@jqZ5-#iIhsDq&bzuN70v8OTkiz(fS7%7%MFbgHJ+!#H_gFsa5uiBR zn?x6c_*3ho?tfEypY7S9< zW4#f^PUmOdi~g|S)OF^3=Z6RVd`>z_=xsEV#ePt+>~}x+QaMj$%!~ykuiph?$4+U* zR&qKklO0P|3Nf`^Ha(wD3iGmK)t6Jm#2Z|Dx1U)#clpb4@_DQ_AU!&*hku-){v8XydfVa?_14^)nn-}J5OIUl zL=0#!leZql#(9%~2qY#~p@<$LpmOO$YM{bACWv!c=i?=kev8qvF3`a^GPFZ=ExwbJ z+N7UR^;KnWISDnj^d{lj_+v2TfTa=H2iBjw1qGbln3kL&7MNlbF%JcIy22dG=D+`C4FjEq!D5%ftS8ITbr3um(KDI1F^RbaLJxn7;ZiZrtWe&@M)kA| zT#H#U;%mN6(Q9am4OYyXkibGBrKTRZhkC7^yFK!BR7MWwO6G}|@M6#*fi~Q5Wn{^i z6$7`T#mgEYlW3TZw|zEmd~T2X+i}+t&%*jMgX*w+(t>2X>f5Y%{I>Z;OGM$$h#R|! zZ$O*(q8w`Z&-!?k;{9O+*_lbf!Ns~*Pqu@=JKXuDi#^7 z^IE}RisxFD&xoA#UnaE&^FH0Np3q?%JJjsX2x}5z_ZV0zaCeeIij-UY-w+c82N%H; zMP{FvU$#~Z&M00BqH-VNvkWOxu!PQa)>p7-XBzY&*SD-tIgiDWM&zR+7R75*0Q4*f zd+YWa09u-~Gx9rQKUA(vHn@Ao_LuM9i%^S+bVyeBVoo&Ox2Qk96;nW4&tD&B?n$Gr zsh9*!-%xqd0dvr@Pt0dRC9A%LBzPZ@&O$6HJ-(I%E;W6&I_C*2e|+mqoQpe=jmDV= zz{Mxed~+pP_l|*3-%3KJffYJXQn!DXA!-~BzE@R6(uU0X_nG{1!MX7jfDBDJF@G7; zfGmj%;Q?*U9W1hOC;Ye>_VZ7w`ZGA%=kne;7Q;Z+%X-_rC@{mELto)uA~VqDHtTw& zw+l}z*W#c-`3479%|ZLMYVdiApU@J2Dj?dy3L*=y8krwS+nq^zx55b>JNT;G#6zT> zhUbJYuE!S76Zd)iX1p5YeA4{iTJ3*N>BU;1Gg%Kgy};Y+tX*Pl#XC5We)2&;Q31AM zW;Ovn_O@WoZyQyG-VzTFeQk1EDb%nm3eoOf@vrj+>#L-!e6??6eNf)IoKHYY`n$)D>?D93N((lxm6CW_mkG?pPoo81#7RjW_0~Tok5w3>AoKvBo9KZdwh} zQNeUyAv1?EXq~6LKm6t%1wJ!5EB?MwX*lnF)xwsL7^yrb_`>X3_v;PTCXFjEAUTWu z9N*!a;58`aRnW>u$CVcZu{_f!F9q!{hNxJy`6=ckvT#*>Qqgu(f8vVS(r&-?u41cU zqNXjGRTzwwAx5wN!`fbVkDiOEiyzvXN^3Y81W58qnR2D_KIQg!y~6rDzt;cCWq6Rk zfJ-qu;(+q+>mfVW=2Qvp#;(p=2|&-0t|k+wl!KFfe)JL#&$i4fVg&Ost~DgANHnHZ zj>#wk{Zk0%*?dD9J9bJ1d(FFnvSggS95Fa|8(Sc;G%#94)&NYCfyv-n4js6WCOqZ( zdy@>RQhQQaZFPCVGO+m~qrCt~ZWB~}ZwB{x8vV#M6K8B<1$iVyl9!cbk!Dsr^F&00 zG}#n!#Aqq0WkPwJrxZ-21cUZ$2!&D|S6khLPMaOMVmm-Y6XH@}R;b|bL~eBub8eIc zI`cE1(|gI(pgzI-#$wVvBUxH3l6XdM{pi${-(VstF3MMcd7Ad zt|u;QtmS{$F4|1U3yYPlQ|^|y1i#jc#@CBvHHYxe^fuYsxeg1*OOi87qvX>t;U=?F z^_ac36uP~ltieTjIl6$-F1X#0u)ph6ehDw)s@IAn`~g4;bcUs##wzZVgFJOa4+!%& zcrA`99F^dw!we6uVT+`Kp8F4(NvYK1{K*4-<9I4_&e72-DHXm;(DMS;RF270x&w*Y3YmvcT!M!iCTmz0;@vb~+43|9?HXYxV5t$NJ{9693EyB*{6yYC*TXU_Y*)BdgyrZ7dJ0iij zu;-6)HIA5RA{CBpS?y7*s&13D$r^K#pcnJ)w&@End0O4EHT*j_v}W}aC>vu*xgVSmI10~ z$+kbv-jvUn>zN8j^yvFSrrlYIof1MfDp@!yf^}Inli45;e7o5qP;*t+lIw~3`CrZ} zlk~&&Q$>IHjQH|p(03uaWj9GD@PnQPEbWl0pyjo=Wqdlbabjdq=7nP)=4FUdQ{BVj+VzPvH z#IRo0H~EDO8d6BRfhcg+yNRDgMLm#G9<*szK63HvTdL=aO|8^&mQw9D5v-D`@RAku z*mNYcq9Q1Ma~^3}zH3nr9u3j^Ow=T9130K~KVPH~|IttQ!Y%N#^SgFy^Q+=38};KB zuux=PMlVdav86Hc#)2Y>kyH8Z>y;S4zH8kF9`9K2R!Jx3_iLWk4z2K8J01h(>yH_U zk&Yx(ioPQ)DgDwRBf~@5aZ~GsSxTqYB6`eD+)xa4vHEyYZ0(LmrGb45FZql94Qx4K z3B9{Rmf=#O0GhHmA$lfr!?Z35bpPT%a%E-ubjH`T9icvW5=W=DRZ2MJh?6d29 z)wnC#_DV*+A4-3)0(n}%`DcYi7Rj|Z`430~XBt}>n~0<68KXbn)U#g%DtiC=Zqy`% znw`uk$~C@y5OtZh)I#9{Tn|5=(zX-g*^bR^BjoZu`V5*tKK%49l37u`9T6?ZANg`H zHW0v0CH8}Eu*cW~7kUk_@)-Y=j~*%{pLtN_&I(fPN5TlfwcP1se~Yg0$tJd`=C-Mw z7BC?m;EWBCkU9P_XE@~WWxnD*ok3D~Qsd95NsJ}0Ttp$!QVw@zEhGH5<<(DjKh+iz zLmu&A1R>vOp{*rYNM$&R2?z21ienLA(ugm?`*I*j+!wPf4JT2yy}1n|iDzB<^~=_s z$%G9hF}~Pb@z_kJl3?G|Xk)#CsT#ubIg+0raG1i!3g~-YA#SK~@CY1vlPn=nxG0YA z3&wG?i~OVkATHn>WA(AfM?*fx^X{7`U2z}$;}bx?_+Z0v%q$)XVEAinu3-cu5>zr7 z@y*18GdL&~Re_e%Y&M-d3@!GXd0-+;>tRt`GY-5+FXkiDIAEXo-O5;VG0BQB1}|iG z<7C;%7Tq&t9BZ@e-tlf?9iF{*zj)MazlX)SD|&IQ47$6mLIEg6hktT-7)Z;Dxt=6H z+WxyBgh0!lvxq15glP2mvUHRR!FZUtG&sMSs*EoxFbo#LbAS4F*3_!#Fg0P_d9We^ zy;#`h5EKL_Q3IPNB-5_7=QZm{p!24Y*@}@ST75=sy}5Rkf)0&O7PnDNd4Ljv3S4VE zsHEaSJCcK_C-uVhcoFRwYQ6_ajyuj!MF6_?Cl>+fhLvd-rlw&28q1V@rA*!2cZj99 z4qlg3kb9L#NkXWk*pG6-FS>SyfY}_jx8hI?6d^ad+qj-eapQ{*Zt%2pzc8Wj5+Y#b zSPmr%gR~93g{00)NpzFIS@A({OQ2MA@J8%*^u!yFc{H0xGLqU^J?TjtGlSnLNmkUq z`NNm`UZ%nqoR|FH)7rP}t5QLoB@J0*ii%mG+8qupIk(iX$roLCDRO(vm$)k5_M5XZ z-Im)psi!zwhb{${`|LYA!hGq2vYiCBTs^X%engHxpJfi(W+5F?j==n>!0I+hLv<`h^`KOTh00nsDXa@8f(WANG;p+S9oBd*WiKQ;f}g z%)qo0(jKoAuGKUsM;&s&qHQ5v(b>wDU9%~{a~c4`AC-1%Abx?zrNGA(c(1li?W9dM z+l`8X-kBs9|5k-PuibB1bq3A(pygvN-qJa{km{CrrFnjHSpkNr(zCI8!W3L$-zqXN z^>)7&jcs!7LoTXY=NSqPWKSVwYd0hgRviZ_Mg<4uBKB5ei=i6(h&5ETY2g zKnDk#s;V~4urqtr0k$8`wQXF;;6A&$+AIjfp&w;_yM13jd7LD-hc{o;JXwGEmNxBT zn|d(*n7YF=TtMIOU+P$$6l)N)y2R-5@5@|_p?yn8(9OLo9`taUS997XAFF-#Q@;bJ za3Ogp@zH>o=UkJ(b*e*${(u>0_X?`P{mM&{!dFS$I>n?bv03$u)>XH3{M3Xz`CQRJ zv76&wCSW>t;d?*Sqff$)cE{}U?48lMk?7#ljP9woaiS2|<1tcNP8JT@)D>xO??;=M zCg<0E>MlQ%))Ep}QvBd>2^frR;u}TXe1t%RBH{zO>i2TjZq1;zQFpp=wEOj_$az+I z;R}HNR>Ut-hI{cW&WX#0>hQxp z%@B!!7aNLe$LbabG-^CSK_zFY3YVfq+jbsq&ZQ6bD9)iS^Riq9DCWx#+p7b zYqY$L6WYJUrq5xMOqvZZR6;e6hbnvTA6{JRA26uFQoF=pA;GLwC)vHA*Q{}fIgIa^ zTANpq%|44;3g7h^6glpS6loCw+k8?lx!4*(P@wYDxgFmQXB%nmxO2%8>BRr|FeK8z5_!e{(9;C=jnFMe(RL|)KY&> z>w5DBbK;KFuaPNPq;_Zc;=_FoxNobnwj>4@UAoZJp#}565pg_wC9tTT!g;Nwp7h_X zVYtzmc>=GT!m+z{>_CL#2#V+k_9R=^(}IwmTu)B)NrwE^;C06w(10uL+{&D^+Hp0c zTqu=veKCq+v_2u*8&bJoj0?RXPfOIY`%JX`&5f61=i8ZFk9A|#=wZ&n?dLIu9ShCG z6H|BsH#0Xs5voPDWTBnvt^(DR`T&z$roieHjG%DD-@ty*p>Yi*2Z+=$5-6jqqW|f> zB1I!+Y7SVPoM=n7+1c7I7&Lc|$|=DV1FJ7J1&KrNr8n(Z^L4%CM0~gN2L=})a%v&qX;y^Et?sLVgeD2>h}DL{^G*gW}hX<@u~8|nQ0@ml@c znZ%RHd{VtGWcO`j+c!)kJORfMVp#o+i`Bu0UHSXozVTBAgoV}Apx3XF1#e(z{a z9ck!{J*KS+M~uWDW)Z}1W`ib0+S+6Gniw<8Ih3NCxc#;pP*9Yl5$crVO1l3P75r88 zszl!Ot^O3;^K12dD)M)yb_%=>n|jw_rwfR7aJZ9nJ{|t(^|5nBle|AyMGTqzLb@Ul z;2;^hC#w_@)O`CR6V6?%7MTA#SMd>md_kjDcHUELDEjAw^F7E=;FDmk5_jLfHkY4B zXCA1^X$d(OS9-5!&v0}F`1(6k>b07t8|Yiywnt#_uj`U8v%yUe1up^V501-?sqa26 zoN~b0c)M`$*8oo8u0IiqeAgBVubKg__)^5Wd8|A7!=8ed7iSZH8U|~!DaSbYQ~h4k zu8*1cwwZ`}@sj<0BU`;}n#i28_U$oEr3cp65N3P5Xcp#AwEBw4NM%%3orc2J31^7#$F?hl(;<4=GUyp+1FzP8_fiwM^i`WQu5A{D|Smf6F z-(;7LjS$t~Jgad##-gEV!&G=OF-74D$ujxnIPnPXz3-Gr`lIizTb*6zVO66Wuf68s z?)jEm9e?TIhp>*vfY@u7lXtk@C$1%RVi33W0>}5JrqNCu zExYb0K7X-57kDKlo*%4*l36_Y=f&Nod@93hf_27Lr*B++46KSUwx*;!bB_yt0z zO-UCZoB^uhe9F8G1pMeu-#J4uPAdqj+rCCGq40kY8L`-5{f#j6```ZR6lgQi$Af6N zi9_i-?k#+k41p-7*)kfMSl)QKaR42;CHtQG#knQtplqRvkJc-I%nlaLd6mCY}}W-oiNo zC1`}ea!B}Mj1I(j;o#d4dIPsodOb$UOGuc_m|sMOYd69lX*!sY;eZuh3MOocLf+2( z9`T*1(tCbzBe&ZK)?Q9_Z~p$?FWz}Lt)?mJ7x{~=EQ7l!WR0qPW5$I`np31rxsdOQkr*E(ZrCW9_m*W<`^vIruyDlxelx;7;35&#z!ON;o#L=QYYbO zl_Y1*#yOlrcDeEcnNGBdr@WwdoKp~IlFa5*f-ki6)|L?UADVR&=-J<85k`HV909Ek zK9%JH^Ml8Oz3(_Ls@RVV{;MnsDUKZg1@ws@C!Gr&=iUaj^wx@6FZ1%KfX z4xLoer7kOaV-Yq=%^U@$2K{|+2uHPq>aHj6NW)>ShQoKWC}T<5g{px(!mnP#9?J`| znvyOUpr;=hq0{gSJ|J02M<;7#gnj(Ex*tb{?goDDn zm9@U5TaJD}VwmZK+t1!x_k+(IP`lpw0fW@Gk!tAP-$xxmCLf{d5%Fwotc1(2>7JJb z#L>RZOiVSyd(omX)3G(%)$sPjZ*9%Y&UQui(P-wr?ugiA>CqEIVqfEJJ$HE2gk`J4FYbUo8Bx+`Cs)u;x_ zc87?28a}aEuOjNrdIv82hi7kYpCARbn;Gb&tZavGl%lcRo_!xW+NgrZ;0jNJo>{%Nl5Wm zsCfBlZQ%!~syvXX`IwofgxAqk`+XMXfN7EqJzdpxxV>!=5@Ml`0|pnR7(oE`BM)Md z)ofW<7?ZL8cZ5Mzb~s2V1|Ts)IK7`Zgl6dp`+&|2veEc{9I7Mb)&}gc@4n4JS(6my_ZVp4QT_L9YH3FHdm1 z7mf;xN^r9OG53s~841wKm_recia6()SbhisGcTG>KK8>e$ohr9 zTgu--`yLukxx><;qo@qtJP}Py*-Ne=VROD9qiop*nx@__PeOHdrVdqSVPprXAv7<6 zX9-Xd!xgc&7Ns>!N}y_$V6w&6e?pvIu)Yte4!@-yBkR+iLvp59^_({BUo8puUK|t; z*(YBGj!jX+n&b9bz-I&ZW)Q8|KuJU_Tw6`_c}^DSu%-lnK`Z%FT^QIZfI}p&=afx1 zPDtzQ=22X$%@hOa3^hHS#+hgjG{QF&P8h6FchJi`l`I-Z>JV}#nQK_qu!JmQz-T}) zD_{2qxC2UALiniKgYCc=dygzoVtmfXUy3W2&o|8rxm;fBrbGoM$F`#jt`TastOGW6ZL>!muR{ zaW#;Kq~kD;?+(18U+H>s1NQ047V~`a>3SJ| zaQ_MG9MdJ1N94&trHLFmFZc)Rvy!wrntEp9lDz=LjV7m~I_x$uYt#e1Wt~7o3sSBg zC#g3y^mp(eaL{0J-{z+a$f)EdoQH`})Gu0+6n2Fi>S>{>(cQ+?@lTMNH3DYa`{o#e~!K)2C! zmUtfPyl}dAh-%|k?3Oy|gLW2b za*ycLkss4f_(u3_rx#AWHxqn}$%3Xo#sx(9`P|5Ngw!z(=-b2y2CvFWv^eL-$C0p9+g*#$HPv56mBFwj!70n3_b|#700yz>tTCqq8 zGA;|KB8V*018mZ!#=Ie8#vEbBuq*zE|5ULhd*C2^t8SytYedGXw!liEqyrO_r+`-= z5}oD5om$vh=U2zC4F zqzKpk%7cGVH#umX;rTJ=O^Yn!7eX}Gb82dqA5$_bV?Ep16{Oh|84#;%9mu-uKnAV8 z&6^)O-s}^=POub8@TZ!U*Fn^|uX79Yey+R8&HCnk zPg_y}gt=W@A=BQP z-0TP}n7aZ+WZci2h;rc9GgKLkA+s5qb!=0yc(g$Wk<%7O40r7cYIbN+yE((ivPPw* zgKM9@MOU9>os`^X$=QJ3$qew9;Sl>o4eFkVj89)v&}E--6smR?Z@he}8dt_aZwdP+ z>Y@cV#!OkitsI?KWqz?li#t5SiBi`YT+uHVg}n1vY7o6u+sV1{t?H%dMR{3i8O!6z zTR`)M0rsKau!4A|kIYCJcl-Pb&Nq2Xk zJOkIJlG{Zyl#EkZGgTMvpqQP{6P3bM@RRw&+MLv zxHR)er6zOZD!=BBa<*j&6g}sp7yaOg(=uf8{Uky-=rMYn(LGwFrxXpQMU^3d$os$I zYa(e(COgz+vf%rh9uWNe71i8b^kTKJ)0;F7FC~stXl|6j?>7cB7q&h9x7W8}t?Pq5 zY+MMY>{+^>JX?ZqVrJ5o1cLXPnrTS;vCSTzC;z4DV;V~NOZ+T|s9%&3!;ugQzc;^R zR+HPra(CAe9ZxH}koAt)hTMkMUQXSb|I!Pl{BxcWub$CbSDoTQkk1W7x|v{w~+mT14#?x~vASQ1VSpC!{{8p4Z) z;w10!RI*P2BtUIQB*u23^3@10=SS3L_K)HCX0%X4-rPSd0fXHxW@q0+8`D3HeV zsrbjeX8Wo+EOa{L*di1`zNd=->Wau5V)RFvlyrYK=;!+Nz9D{i{AJA7+n1!_RZ6PTYKpY<=@$c-?LE|&@b1s(9&G?9Uqm9Bo8pV$@5KkjbAclDg9s6 zyrqzLD8(eS7l8R_+-Y#40nw;iOg5<8aK7%(87i zDg3y|@pmpA-@eq#gABs=JdQ-W%e7w}PKa3+{Q_~$b|HZg(GM2U$#Ouobwt`rAhl}_ zjLy8`pIWVLVieOLMt@LOFSL=c4tahSd7j}nMc5r%S|$Sc2OsX9syo8|Q7jm=;S?e?`GnWjRu`*^b8Pa$Sj4#J)|5Lap-a0ScZub9D(kwQ~m^p`Ap z@@`abv46j=NwN~Bq)D^3Ty`Srpyxw2B35xS9#y@I5_W>|(IzcO}?kw*JGDp}W3K-?U{!_S| zipPp&=5tMY&+M$%tVTDpYU4RSUO70_uL$T=m-p9lf(1Z|qw~z@F=JhD7*0|y6^K)Q-)Th9I__|?ej{Y{nxrww#jQ$OOkQ{~C<7MLn0YQED$ zMBI0b;9C8sJ{d#&*}&0ZV633aU9EMEuN4Zs(}D{|`(}5D6mYT;>yBIDpHL3T4<{Qx zPV)NmuZ4%^0eM4O3qBsVs<82H$jtlw=I1$FZEkYYD-2=?h9r|>a5~Y}Lo4Z;J`Dwv z{_=@f>d)Ie(ri2%MGq*Rm0R6vI8su|*m9bwA5ZJ~7t{{6%tSKWq11##mn>l!SZ5YH zOjcLI>Jk+G$m@lsZ)_)@Jb%l|#5Z6VU39r$uGo9{pQIQcBLG#+Y$oV0;x_Yd1P117 z!{wL=M-jp1@(jidapVa&mQZXeiWW_2+OlFZSl<-yM69B1aGcWpub6Xv6Pb zyC#Hfni1Q(e=_32$Lwu4YEKYeG>DfQB#ephCkc-_)x&fa4;O!R=cq0UdikceJPlwQNw<1GlEl%=u z4&SP97O*Sg;YVO1=f9a*f2+#*y9?v@KyM%-SI~f8b70_TqM4jh@3HMKyt2Pl^YLPK zE`T2il{1W6cCmC5iM3%~!=khn0<|uH8i_0 zhiT>vEUCtn`{m$Xg!1K(FOsGQSv{Q+xGt%%-oVE!No;%TQ8#`PrCba0f{5JJXp zZ<y|Wosz({%&!W_j**N^c#S>1wcAigXfb5key!| zrbUn|D*Cxwj7{X_0yi8wtNOZASyl`HY{i zOJjIxF~!mm4*9A?F9nS$)(BGP^=VYBZY@{h46a4amm!sN1KJbj=!FzqOOhUL#@Qc1!grYH9I&>p3G4;cjxz;+oon8|ti@ z=D83G-oKUIx3EIZd18OOGdUDUibFJB?T;-Z5n6ZPdM``as5)q~G9!&XRjL!JQG_i*&S_E}dEO#;gL`<7pdGoZpKJzkDVw-ovPL=O=YMi~aOpkeLS5|0IZK zqnB>!X9xz>YhnJKzzZ!Iw~EN|VS=aKxtVP$C!z!<%|u5mVrl&jIigevZ$ctOuYuVc z!H6vuXG+0yL&kQFK$AtFq z(k?F4MGHT*FA-kEz<-cpUbQK5do!yAb`n+g)N@az}cLvff2ae zQ=(E)*iynDqX({g#1a2aOPMEw{9eYhC2BB7GVoME=pW!+^zh;m;CRNtg=HbayQ9#OFrb7RBnge116WI&i-Q)?4c!z5F+&h7bUD0!;3B3n?p9`vZ$ z`c&VteNy4>a@Qh4DIpjKUuBCspJFU-QY|!>cOxR``K~lu zbj7j|{}NnSr?yY!up>!7$${Cw$XUL2J5lx2;i+@+3yJ5(?*V(%&+<(mp1~5^dFf!Y z$F4F&&|5$d>q|vmM<8>BFEg{Vm{ch(coa_WN&r!}vHfuQxvlD9YEPyc9=NkyC%05& z&Ou_fAM{482oEva8Lcig>>8^SB1gS3JHUr-mU1EBxobhcK!!5$-D?Ad86ZkBJ}_p= zks*or$X&x-%gVH8*vUfOSaaSW)h#i`~KFam4c?kFJ3|HNPOpMy!*|pAjea|8vPvP96 zO6#awtgGEyWngkQT0YhfyU-wW5x%P{5(QA&R4a70!Gf>*tfqG7>S7{2*Y)vD(DZEX zk$JB^&a8kjSh*&E_O6hgtZx}EO?MT{J{q8m4{DvB;WeoAT)r% z>I19v$EyBB-xw_7jI*zQ&QARwO=leyb@z2~5ClX*r5i!ILApUe!a?aqx*bXwx%Rq&)$b-(7H4>5d1pzUh3ykR$hRKbD1Bk zIQqOuZr6N6C2o7=u4cI3IeV?dC0P6!@HUKWK=2Tm8MeIe!+WBDYr0KSP86CX%mMLF z3no@2AwP%r<1E&uu)liPGNODXr^_cHYfKf4Dc~u{a`AEdF2E&$HF5WA`omZ<^mzeE z88wgOy2&x`j6A`3b>jt~m5Qog=GnSX;D0!t_Oxcr!{)Zm3jB2#D&3f40?gTgyhk6 zoez5#s!U4wm4ZTV%@g|ZlpwcL-GMM{8j{m4y{{sV6G!xd)Cr7hu07D_btvQ( z9JPLO9x^3Ce6@d;vzPBT>$~jNU=JS+qv?f&rgZix&KgTh;dGHThbol*uOSQjg zFgI!rj5rauzR9bq(|q@Ot)>ZsMD{=DO1+fJDflyc1D?;Cm#&W-*|m*50QtmWXS6O* z`~87QJrEOZ+YM#DD*XYGjbQI zz`pib=Dt6FhN%Dv16AQ1_ZbG0GG1J!ygRkxzKmQ=JZrMcSf0v5ESHY$ufm&%rJ)4_ z7x~g?B%bArU*X%WMbBg0t{ZiOyY`g*my#oCpN_EHYo&lwH6ht!vl!6jhy`z)w^6fjh= z`}R^M;I!o?0}BHlJG+%yG2(|&Z!+3KE&kF^;rGGyzvF8O8QMe+v!9xc|9xidYoXol zR3psM0T&B*EWh_UjgA63yW-J-VdbH~+m3Ka=RQ+!IzvS zMHm#t9^i%W?)cX`Wf|jDc%q~>xGQzHw&j=zH@F35;x-n`>5{3-l5IO$JUuzE!FidTjca~DCvdyH zUKaA#^>If#?6*>~%Z^gy3OHw$jG^rjVxfTBA7B8|1`+m4>U;nGYoP2wnvG(`x$j(h zm$b#+QstYUhy!RyT)FFTfFMz>Q+)Rw!m4Z{avxhg{KDfC_sxW2;l+Z08Ou&CFLZ z#jNK>*C@T^9m$=pw92q1&)AmFWILNy_}MGA$1eu>>=n6r}H7-sh@mUM!?g$hw zY1Jw8Poz9>rja*&inS0;Yb6(CG!BaKGci35K~bDw^q)MM3B8W##%n4euGn4@YnOWv zO|vC-*Mxggq<8Lg_E%VWZmW7Fa)X#Yx+Y$ptD1(L7hl91y^h&0G4D5<{pOV6k#gSG zI`E5u;0s+H^TD=)^X;*FBfoPhk{Irw?n#aQbmXU`|wsWRR5t2ho5kMFb( zf6d=5##?wR8((07eW19DUl)NpZ2!8y8BuOP>&P6zObF7Wt79SV1dEmGq zP|8{Sj~7_m)cjd-Dg>AD&S%@JkSetWZJ{lwo!P{?9n7>wOxKzJLaq{!P#&NII4mlT zY`sswYX%To&@s+2qhFXxA1itTTt$C*P&Ur2?wDNY9XV=$8zc@5aoMXVNETzLhUf}8 z!p~LS@e;kw11flCVa`eO>Fc*%9`=RGV%oFO-Lqm>ZK514e7nZ? z)W74c!Qs*M;R=9GU5S&vtbRd22@96@;H_<)5UT*I@0KwujM(1?J{5pU_pH5$^HeT( zCeZ&v&IE*56tx5#L|9aV)MQs|$D;1aNDzK|va3HqntOj;?c(ON#e#sN+QZ|FF%&p$ z`5Fi&NXvtAroRaUZXpLgeV1`Nv`t_TfLqBRXyp_yZ%>9>-lp#Y{ zmGRks@SjnEEH;LsEfaP%TG%##pmc=3eB+zfD^&cHs^0{RRtbdNqdyeyWgV@gZsV?v zELXhpuc$!m$ z(5L$}=EY%&4_@eTj#NF%WM-+?_6nTUpt7`B{fbv*$iLbn*btw^;BHT>CtjYGbdmLe zso`>JZp6O_mmPxleB+&Bns{4ERj}KKZDSfQ1&k_|v9fFW4J;Z&2X%`;%7j^S7)4>Q z#n`timn&=+`5yzkICFnau0usM;EABk`+4AmHGmrReNB*^onwn#|H1{}6xdVfOGOkh zC({}`h74A*NKJvJ|2E^nj|mIvWJOk%mJdaA6Y|YxLN7fdYG4M;*`geKbd#)u4!E0l zP;V#>c$>faPQ=A#okHkDK`FyW*eaqh^FMps!$_;3>O?N?^c2ABw;Ot4<31nNYH?Dy zS-DX9e%T&bzodFMVkk_WJgu^4`Gqe+#zmu7xYd>h=es&QvQ{7Oc(SFw7WoYtKizcf zIP|BY^CT+ur&LQ)_w$r5Cf&>&HR^6nofQogHJS#&bfz&sv>OU9l8!ykljIbuAFu|Q zI(g_AZE+>k&ZqY@r)+Qeim*>{-EDT3H9#?U8DVP0nWY}$$5GD8TUB4%()8FI2j5yld{!K*NWD~#S8G?6`uaP%LOX$=3!{i$KbIy$ z*V>G!2UuN2pK+ZKJjh#;KAH|F&$_!T2a)x@&RU0ReTtrFTWAK3qSv)In$N{lXZ>~Z zkzDJt+!(clM$Xhf)HNIwGPWqju^*SahL3(kR<8wV-puBT+t8Ms zd`aqQOJ9GPSA9mtP|uDPVNK2@m19+jr>5@b&zU$;uME~WHXAQCYl1^vo-uRsL7^SW z))9i3O#*NBgEuJnj&HllL9y^y+DYQkuy!1NFAj&E9j$)AN*XtNhhB2L$qpjVFH|mE z2=Q<~QdJRwX@jBlnwD>afaXw-LH!3G$)En*Z*LnYz4nlvZbHR_Ek9ekj;DMkE`3+q zlcptNW%G?5wDgZ}@DM|0?3Ze-`rDum;Ot0e1_|&x(!Jv{ckzBFTz8CAEhI)Gl}C+* z8~xkx${}Y)ZeB}cW!1MH^`@?x5F>rm7&p}gaOV|r`Pd?i+;yqE#)VuP!gS$6^wqyKpYvnTU%pJ1Wmzrt_73IJy#Z9FD!V@vkOr z6v3^U!*ZNRiv6*f(~=a|_tv`&jW>x()-^RAs^lJnC^cYDk-rz+Xw?Zj>(ScgAqI~j z9d_l_UlZJ3Rr)BmG*0Z%sG6pBQ^Pm29)F16Kkk(&*F)g?_O#}Mt9Fl}mne5Lk*Kx29!*BckZ<#ap=c|1Om&Kv0_E4GKUy+9 z92eFeyl1=W4a51r(}I#QDjdIU20VTd9AkM^@$7pNJ<@6?n=IXA+9Tyu^PIEO7GFxB zJf0XO0`tU|2vD>Ybj7J?9z)XqLQFcHYFicI@CMN*HaCcd_>}*xL$O_#Yq80Kqkv%{ zWxl2Jv-2b|ro+D+3tXe8*;t)NG>bb5pAWMs!~5ZpbN-@S`m$XTF5JL2N5}WI9mA+J zOB%mHtzJVKKAZi;39qTKF{e4ic?Ta}G09eR>WcS+wF_Hv7Qit_n=ezpjAFa%@7CT8 z-$`zhY(0#M5$9=zxavpX6ksBzac>4kzHqaZ>x-4Vs=OTB@77)ZC8B&B#O-Kkb(xrR z_b55ge^xiSo4$B;Di;MC_pB7up1rR>B8_mhlmdO*u$pXY%urGwaA)k<;WJO4<3$l< z{p8#NxTs>mBPiq=YICHzd2EX!f{}R~BPQ04q(Bb{>dE1qm-48J?2hjhMX31?i=`5R z8))yU2@&qqIN)!v7gtTVBw9wG!z=Wk+=hEX_f8TrJf4y8!C(tWwrY5K{QPVBvcRF-{z7 zP<^+0PFIR|c8vCZ1W)TNbVPxu)qZqz-EUOXclU6@YPgf-TGvra-R}+s{ zfTe1ZHf2Eoz?o)(my%`+xCA>Um22|2aFF_UTV5SoE&-@jvNPUWb1|{-;=_s66-@IX zpYzWd4vgf3{t=K&;E#CRsV!=LP2L@%5+=o&Pq8%-VZRi8cpy(o`XV8LM1YU2=$Fg* zkK87wy3F}?^sBZTOT7OOg|T#R%nAOW%}K>U3RYltYtaw@umymZ-z@;9Qt>`Xh>IjA zc6dKC_EToYlwK-gqg>2~6IJ83k0ZbMGD%hxL-JOgO+6dNOYjQpcn9rQR&PaC?p#rf zEf(;0$OsO3YHo}PWXVuP+#mn6(_}a(KEGr_-1e(fg;!$>e4;ElEKlcBCCY-p(QjXd zXQKuRrN6IeDvvz%z}x|XJD{UyKSVX5JfkP&9*SAz*r%TdzaD@5RetgJ^gm0v@~(5A z7K77UluvUju<;UPwl?O;-R8Y4W99=%8Vr^CjO|C2t<`q@Kn zZ=1y9y^k}F*3Pp%IQb$cg#TCTHlWaFk2kfpb;wPnH)&D1>xjtbku zE~B`=*t{EuGY^n8qJG*bbIRbOCSkn$fHV`NC>A82FW;o1B-{Tg){0f~8n*<^&h5>r zKK~*^uq~+$Kjz8;lilaXtyGVHtpLD-BjQGV;N@zvgOv_w$Bc9USX8~(4m{96&&eos z`e`JiajD5XC=nz4Eiu%NZP9JP;9xl~9PN*VVXVa)JRC88i-D;4t)Qu3vujajTwjTa zaYv%Sm*lrSGXPo5Ixjv3VndHHUlUBCW)0F8sC*;LuKF=>TI=;pbV%_zPm}pS?V}Y0 z$5O!2KpbgS1SK^)Hs2u{N=R0EXK_9Mdto*F^Y^U$A&G~F{LuU!vS zUPHer`$hTaJ8EZJVi1&%ow-Lgm%PULezSUIpb(rooL;KCEi@uP%JXqDSS?!7|=1At0#ZXY|b%LW?eMjs~or1h$ zovcdY*{qtZio+C3XaT#0$7`~C(Y&Vda^Lgghx7@mKGBnDr`n#oo6KdA$kB&Vhd%n5 z{sFvyJJ(!KBMUcTcI`=}3bw@N-Ax*;Gi249ejg1#AR+)qHQ?+EJt5=r?QmYK^hoRI zX|s%~1zOE-T)IEN?pjXragHVBU7EqkNx<2OwACDQ82HO~dIxp7V`0BO)^eX#%Pyn_7;5oie{%O~ zQ&nd{tJK5+-xOl>gkZOLe-ykS+XDI1L9waOF_tzBEI&y2Y&?N(FmhVp5G9sXA zW$55*YuUWV4|mmw4A!#yRrQ)l! z-6K*~q*@xg1kPoMFcq?dhJrPN_GWQR@(TfB13)#4iLd}sJNM1LGo((Kpfs;%lXAD&Na%9{6*#)4;w4v`8P)SGnW40I=-7O- z4VtucXS+lK-GKLQq`R%FO-+y&>{wc|t$iD(T~WPOn5&dk6=L(Q*m0SwLn-{aN*5c) zdG|}R_d=QiJ|nl>61iReqkKu4K{U)KX=nnWFDM5OUgb4$+lqHpLGaFMD;up^~bS=Q72@DVrb2G&Bft zB`nnPKgVb2Z3+v8_U64MJIaB;1-7J3r_Guyd=WbR$7m_qW1eC8*IH95A^f_gEV~{Br{Svx`XEnAPfsfqyZr3 zL~d9rR#%ss2w&L|iYpLry_;!1=kxacGV2I{-Dsuz>hNaXam7nfxqqeKLOVVfw46%; zp__H5mV0dhJt`O|T4vh*gKuQ~KjP2;A3_}`kTpmMn8i-J6hVn>P`ZwH$AFJj4q%oJ z1%AuQ?1-$KP+fUh`}|VQ%2edJH!`i1RW0%4IwV+F;y=EJjP~{z^fuE~!$Bx45@C@C z!s^dPgS3%~SdrcELww^Qm1tW4Z)|h50w}nqCTDT(hmZks2cIj;|yG7uPpB z9cQ-oPeevfPcMV26zUGo6XuEgdUmj)6{l$S0hr${UfyzSsF1 zrT|2b)N{bbgTw=j?@acB|E7gvO1K~a6XAd+s>bbHC+^z=yH9_VgiaXyF1l_4J({W) zB`w^T$kXK7lX!bOwvTqptAeCbC;00eZrnzhMI~J?iS_GguLPGHjUFMo-rHPvLZ>6G zf4y45T=hZb3%W!z173%p$6=oqNW{>St4bl;TnoT$ajUr73=FHqlWE0?!TYhEuc*p0 zSW(sS?R@^wI0+@s6S>auoSvn=I)YtTNsLo2ApJHE4Q2#c;eYN#+O}o>>AuYrRegj? zGty$?YfO+a4sNsV$YRl#X+0yt^7{PZkIl)xhifwp$w(N|yN9akG)2bz1H^Ba`a)u( z)GbGbXS%0L5t>iEl~sYKc2HuZ5S->*?piueTzOQ$MG)>m)o4MD$RMmnFRnkYYre^= z7zxt$FL+!{&?L#klyy1nT9beav*-i42Tcyo!2Tbg6p_jMe7yIBA>p(j@L#6wO;D3K z-m;8Ly_5yR8osNPkgW+40`pgZqdKGyhmB8w;x<4zm9V9f@@q52L_bL^F_7 z!8_eSt4zsxrr!)m%9YQ6O-SFMW6>u=@o*Z%U0NZQ@8$JuJkdSciQxcl`xoNKi5Sp)Y|b(Ox6blG2xx78vd|T$O2;UY!&`I% zT)W-2Yu(6pd`O10i+kDCxm)YUZBC<}`-1`KSW=N#qcfd)eeIw=C8V0yS;EdN>&*Zd zC|TdJA?Q!udzBoWJt^oo#6skBZ7#j-HA^efRR&8a(r)SM>h#bNZi3vzahAh_!#{MM z^)GuI8}td^zf*bjTTfIau3O;RG$*5a8`GB6qrTjAWJ=+;7GqxXBl&-**n?NSxwr!% z5N+}waPQbHAC0WHBpi)scyWwn*Vczd>B}!nUUsGSMO}R)L*F<>I|p?xKmjq|WYMb2 z{uRs#=g^*m)Hevbh95EJultUE?Ynb@SyFxm8!EZg4pUdgmk$U}H*0MN}ST&MWa zF#C70L+!rQxQqbi&&Z(oaL7hKO5zoe;QrG;M2ULoy6)e(O0Aq*l9N4kvdw{;D5kaW z9|clhek|y%;qrixctY>59Ga%>lqs{)TN;+>ko%}|_hrq?8+9s`Q@3P-JP)6jlk1{8 zRj*pu794YqR9H*+=FUz5Y3AWI`>SIBKrMj5fWeBfbyeimhI3f;q0%&da&j!~(0`2- z?>sQy&;PV*4Vi&)tMwoyB{cySOhrp@w(MfdTWF<*%yi>m=3gmvN%~$`&?^#E{u*o! zDHdg@8thnGvDIrMZ^_H8*JC&ZXz0IB-kerjy_XXjVM`SLc z)K(Oei<|YP)Oc)L2pjY`Afs%Jq?{E&{PifYp1lDN+#G00>U$9HMe~BO!whTFdMgJ( zAU&uU!8hIaJ~WNAA?j;hlg#2d4aq^IcV9taPfWRNCEm zFVxIktc_#S(n#>W55yBYPpQ?h=H^r(nZf8)Yi)AKEa%JBS;IfvHQ%ozoJIw&y~vQ5 z;^HWlnBOgdTd(&;w$HswI8a7$O*eBsjn=W)EqxF8Y?fH$3e8p2|MXpWpy#@7KisPH zdGhcUS{RQPZ;8dvDK_8H2c?zQtRH=PzTMvDbae;SE+jSBdm{tF^UWL0HsPD$N>-{?*~-W zhqbSh3{fJjSBHc_;fk-TGFrRv&#D!Y{5Ur3M{=4QY4D>;v73>_f4bugk0rH=3S?Z* z9RB=9R-W_CF2&o)q(|CUm7ou9pM@YEozTSX* zv&`htArl|YGV2s>0+y{J`A#P-vY1XxpgOnr7jyQ2$uEz-*Hkhz)%yq>jo_lA)>G}(EX<-xy zD{a&Q>B>FhH+tpSgB>j4t~v}pjO!tpr|f%=j>&p6I&H#B0WTG+GtsXz?pn1Bk-<`0 zzjByVJC3akP)r*rsvxTUs*)Vi^1q4Z>+leNvTU;7KmUm8$v+-ws0Gzd-=RbYvbBO*;$<%?M&o?A5pIEFyJaZj#x8OMbjrc6^|S@ zE9ggogHEY$R4+ci%oST~b3U;-^4TF$)B&qDh;exFoC)HhewO%=FX)w<#?P7G@cB7X zyqJ@rU00X++$pCE9~=g8!X67krofWk2)qhKZS=;8>qI0*GEEE45++kPa~y-W1DMoMzAcCLlh;J0i;4tHKcU?83wyuA@!kX< zWh|56tJBgANHK#JyhKg7{aYiG=IX`t{H~TWF(7$VhelHMlFf8#=CNmVag;o7KuNlY!e4%#*dH4f8*-(>8?gmGm{ata{ zl-YQ?yL1UE=JKn*T-x(3XK7((geKfC(D>%pzifHT6K%cDDX(Lb3FTid{&ih$TouUi zBdZ8A_Yw^HDdyCc*SOGJLh6qIt-RFF=;}+oG3{l&KpMk@lA}aEFo18fjlaY4F z`+|}3Zt3;s)2y@Aqb=&BL2QHlPo zbh;9;pGH=_Hzj1<$xH6DBCzMNUs+n)Tx)->)`WlDAG-V3tB*u-G*jBEKB_Fv$Pntc z8)~KGy<;G9dJzBQ*_KcS5@${ZYaHEyTD|@GM=Q^dQmihfTV4C#vd8phGu0 z>soqjw1TR)gcMz^I`Rp8_$7)hdvil-HUm&K9Is@86G8>7e<)$}0p=WRb1ng{7@X)b zk}u&eGq~Y0Ee(WZ7<(?tJ1o5Z2W*r(-2WD!s`l?Qg_utI(1 zw7()M{Cb>D&+SqFx2uJ}-dc4KEOyN<`~J@<$m>(*573R-Rm)t_EyULD=|X-eQ!sy? z8RF`pKa`z{{>%QNB@eheCvfk-Rdr>@KuvM@nT`r3!l?yGA3Yj>yR z&nOJun>+vjLA8)ITkM&q)S`Mk6Gwk(^+oO?J=BvZzM%C?J(HQAQX*sisZYUA-Y>L|iK-d@ zSj~p$K6P4PCta{qb}Gi3+3xmBXn)P~^&?)q=p9S6@!k>BhOSpV95-YokA-0}eu+uH z-ORFi*Cf}yHW*albjU@L-HBFFk)+v)L}5luI*@z3FsCQiJTKu@AFNZ0Be*=7%od*}MGuq!>3^=3z#s z?s~773YvsBq`TgGhCG&3q9ufu*`J+$NRHAUEtdo5{Eod_ICF(F+-mbF zsONZxwuX6S&qcEok-|LlPIuf?c%}i-{x(qs$uX!RykX)zMf79*#^v%X@phv_V0|NW z)>{gIRcJ#jzLoOXUzJ*TA^lc3xDe9P(&>to=IQI)e4Chqxz`z4bQg9(ZJOFTj6RaH zpsUG~@ldznf}~^eWy9?#F@pOhiza`z@{4hBpU9CnwvKm;nhg@bGp6>p%DiNe%S= zYe1`x_6!5ht`)v>wo2?`A!%5qRrkqJfa-Me7O@D&)H;hAV4oDGSd5P zVZ|P1c5Wl`y91rrhT`>QT@rXYuUp4ueBi^(tneymNtt^&!NJ9a7EhSK3{w4eF!v0v zS5halThExo>wf%2Esu6^FmGmY1WubSf%Fz^ZA0c3DZLENshEzobG5^bx=m*?VhUdZ zL6W~*{kiNqcEQQ79Bi;0c97Il z|EVq1=OGi>>~W>=&Yp_F`%oBi0xR9I)p&zW`J(-*o_zT}o!kS#_RBKFa8R8D0ZPa& z;k|6C8AUty+*|om_WK+}qA@)`AdCJr=lu4gk2__!Vt5g5bl2~XiX{w4a!0~pTTe}J zJM@RclTSN8T>e6ySToKoE~3;PQD-N+LcWNihM<@Xzxd(|_T!Y;eCPFJW5K#u-~`W1 z8nTQgWY(CkCpO^ad?*vt_>O$MWzIlov)U{lDmlc91g2YVtgzy!H;6qu^t#|4)-4|$ z6kVPH$`{kCykrs!eo1dB-Wr)A`vRxA(43J=Je= z?YiEDT4nLDSZg*uHN#m8G{VmBFPuz;yKq;BV_aP!O(}(o-oW$5SdcIX?1%i@5HV^V zs!5|=aB}hUGQP&(T4Kh`_yn0N^`z)>4#Jz3b@FeMNY|{jn|LwQxjuzO zSIYfi6a?utOzbSO`aWN=r*yitP1s3>AD`%{xEyx*u3U(oCvN5Y!wAi`p?>4lVaZPv0<5K|3csDjLA)+AKeTM`qZL}|0gXukeO0}weuYSAa2K!#-I&Aohuo9Ypw?KE zR-Sb+kD!y>DW`nt-hho`7>~2zhisRyI%TutKT=s}+r^xg*WqeU35Lv{BTdIaL9S!J z-L}c?Qv^TK_V{@+eUeBr^Zq^|Iri&s)iite{W(LHELupJO8d~N_M)2y-e|M^!16r) zydhcntxFtgX8y;Yz|`k8j(4$Fl6uUwQ_YMMS}P;nZr07PTk$&1M>uy8neiM#TRY-WYL*AWbupDWE-yP15^* zwu1k3@bDsOMk6Lx+R#V}^Ys^CLAHW+b;eV5^V?XGmRu#vNQp4YtCUVPB5R9T7nV<59QjK_$I;KgOP5i20#a>9J6C9pyB;{O zGg1$?QfK8A;{ppWZMQ>sl<|j5Y{TJXP9;-;i>Od(@101N7E!?icpgd?P+VIcKDW2@ z85gQi9j&J>@2##st6~eT@YlV4=pypH)@$)UHkb<#^+469d)d*{_)i7?)7Z^qxtp-f ztXeGE4MR)BhtKo%;@7I5!9K@V(__FI+|&vtv|0(f`l!@Sx!9mVmIqS;`_)Y|a*u=d zf0$#$;oC%9K3n)v;`ZdjV+F1U`cbR8axrJkNz}AZ2i}P53r7as!CCPym%PQe2iZxN z$*KS&OB)iM3)H$6oyt4yf@SckcbW%=TKZ8p80DnOBs!HL^SUhYLAdkFqcmWH;1S(c@8EaST=&^LH-`7u!+gz$ zO&?khGRV=c&rAQ-z+Pm_u9rvw6Cg3N^AGLE1Me{{EStzbk?hf~I*JbDvPV0+B78<^ zxgG{OlAlTE~iClaeV%h{w7hd(JLb#^tm+9#Om}fyXUF~ElfVW zI*TV>py%-%?{Nf;Ey&eYCTS#m6|^qn?v9{|lv=o8C1?_*nO2ca?HG#+0(mESAp=D% z*9d?A+xGz(*sG3;m7BE$C#%0BVB-AB5`)|w`mW?{`mQxGv4*>KEe(|5lEEr0dmK0M z-XJhsOAU_ddBPxuk$nBgeTlh(KGjJ5SWvyVe)^^n4}-;q<)sjpkJ#zXL_P4G5xLey z;<@o-lS56uKX>2je9{y7vJ`=_6kb)!-)*=2xpN{U`Ce#ylvvNESCU)Swnzneg(6NX zsG3UtebKE(Yc(0XkiK9ovQPgt}HJ#5un(o&3G{_7qYy#u|pm_f0Lbx^@UR{c}~nDovBf+h80QAyX)7#x7X? z$s8zicGoe~#`sN?YXiS*Yh8irz8^RIgkV;q(6cUQnxKsRG0$Z0LiiCIDROK}h{=kC zj6Hc;H|i}c0TZ&YOoHw-SXcdVre=JTEQ|o{gf;Qc@*bDGKH+gLcCvAIur55jHfde| zXwsTOJJ?krfH7yG@0Wm-a}6$%HS#!D^=*sj$IE z-sd)>t$)DY1!-yN+4((`PxU8fFUg-Dq${yXiQvj7UDe7fvnah|q;^40&e;(^wS+#1 zs#R@0$F~f$#RP1Eg*?=4Rni?jnX1O`}u0GnQo(m^aqx{#E>v;AVp<;WO~KD zyY{p4a*WBGF~L%&#On7w>s_5E^NufBO)^*R6Xd ze7O&-lKRM+MuVs<6ZVp9SELq^IdojDYp%T0TBf#5crI zt-qxJ(_rT>V7g}34O*C0eV|P}HbW8cnk66;(tPWG7?J9gm+`klqw+vPw~3^;)kxRS zR+9WZ$E1Y?Vy)?vBl<=1%gV;#`AQAra;$G|phtZyteI3GF1jI%ClECDZ(K7xmQ6W( zQ0fi(d%3q@CZ>G3HTAYpF;Q1@$~MpX&}j}2a8&I-jF3izFcptj$!KEuA`4OG_xdX27Qj`Xq)Vc=t%oK3aJ;ppaugMt@6S+=AhT z2<87|r5t!tja~?-cY#}ct-~{Z9o@ut<#L#tG_Lj=qXeu#qMtGI)^^R`8efKNFOg}r zifJxDDN)6nsE@n1v#zzq2F7+8(@F8%YzU@fEfcxlE3#HPjwOdsh8^JyAyFdOOPe(0 zwmTIfi)2DhQLdoWPM#w5{XQhm)o4oCxRS3E`;Wbe5nfz@-m6%a8ePfn?raxl7O{}| z`VL)ow`DThTGEcPb_56|SR-yHu!@hs2Bu-K%xUApc6eJ?dl2CoW~pse3}W+{*ooS~ zpLf}zapP3Rat(vc;@SLiEs&nKX8!t5h)%l<+ggSs)unJG$y=zNx0qMy&6gAQq>QWH zmTw7pxds!P`FD#13hIwP@8nO1Ck1JkpHD@XoA3wD-TT^u61&?bMJtkPF2G>x!|R%N zIz6Qx=H7rMQ{Tqki`L%gqFGIK##eFva=ksL?u2Hn`MFz;NAE01P>O$hCF_ zY+v!s_IuJb4S_|54OkVU`X}Ko0WeRNe4M6lVT4kKOwyhgRiCAwBPo-tYrWDT_qy-< z2#Qx4Zw^XJ+l8m|o{}x7YGy~mQc;5(0%`ws ziI28_AqiXv+JI-b_^yl3+3H!j(FSV7N>CbcA}^xDYC##lkMv}JcEdLFxge*9 zx!d{f2{*Q(a_E{;4K)-qEcA-`b64GV=`4HtYseRq$#4g*ITz@3&4!^ihoOy9oqpqV zWj((eJ>_du9(7}a_kl5H<3Kc-bKU!l>=%J{CxOU@>lS-H$)e=sH)q!wLP_x%!b zQ?!#b!A6)eq5G~is2fD9>ZG;Vdi4d{+uL0?Due(KpPkm^*pT{)%;8&Ft%+%qSN_B@&a zBxN6}`{4Z+cA9#TqQt(frwCyhsVw0kuifbNHl?s@mgh~gh-h91Gn?1Ikp*w?O_uz0 zc3+y^QggBCeDcQ~;9eHn{x^5Pyw2n-c-8i*Pmpjm@^5#dCL&g`K{-QR{GW^rJm4(B z8&AVPo3G#x*$|05; zC*>zs*E+_vS=lpr+mq(13>;HhEy*mhoOCaOt%qkqYgS%oyJ5N>iu}~6|eee+~=Wd`z!Hg;rSPB6_XA5%e^pmvm4=K4gc4x zhL4<6LNbE(TWkTYtTRFB_;cX%dJfi1Y{!hP8n&4E+V0x%kAhs0vDOs6of=0m?|U20 zUt3nN_qrtC+m46)(38(&tF;}i1X9uG%Chhj&E}=g2>(ogc58Ja24AMvv?k%VqcIyDkPrU}WFOWp{8qjJAF+qIEG` zhcfXY9dFkv9=hlymHXW?! zL!-0D%F-;LeZM0_AK<}2Pu9iD1b!g}mXseOBPNdj>5*B#&VZ{>ZU9}SQ(sSy?B7xz zUEN(4K}x1&R0+}KpBbAw_-%`(rx_*3D>bYD{>uCb66sH(pOlq+h*yr+6(2U40xs?HJ2mAemx7Zx1 z)L)nX(2~g5XYza?S||KWq`X>VCm&gAo)M8@7h=-x6vVxDwtZrZ*5HVs!+eh!XY4Mo zgVhn=Az4>NO|(byJQc=B8MrI=(^xPzxaafWu|^wfH4jCKyv%#;{1U5AlJC2w=?CR+ z31$6z^sa>_+bm}APw1zv)jXkj@uX_(a_baUxMw{2uOdGpP(y|hLO?>hPjG)IFdFgv zI3@woSP4qB9po@ z>~`8&tJwgVI})wYtO83xiFP+tDBbfVto85v2U zwKYij>4C%KwA4`6!b$Y%VEH9o)#ZT|%`0twqi`rw#;Q_QrgRq~| zrZNzF{Ld$#pSAVhWZ;{}E8Y%dxjk&{y6AXuGcX=$N(uslTpGyWRb=KVeZF|mh>L`( z?YEK{lNjMQVEn~KZV~5F6&<2e!teoi5w=1s@N?SK_H>;5H+Ya@-1B=7c`;kZ+ShzO zOfFp5dm$Gz@NzXicmXteD_wETm2my|2Wx@+Om{EcRj)(1IHlmojl%Fr`IykB^CeYL zHcG{{K^rJv-QzfpjBnV z<7I&VR1N#$h$Z;l-Yf2LqUY>GA3^gf^4MGI5nqjI+) z1@RZsa3J@)0 zPR87M*hZ&GSv^AK(nU5Y~zoNIKvl%inTKTJ@Y276-K+xkB_&8s!s%0`E zWPVoRA$DEk1|;ow4D@=7C!7H3TLQ?-1iFw*d$LR?oc(4TpiTQ{U~})s#`yk2vrf=I z16|41?0{c?YiomYaxj0CE(HS$3{cgEMn4A_w&f{{h8=Z-5`bSZm<>b!)a$XnCS>Y8 zmMkhZA4c)PkoUh?YR$|%(Eg2Z$aV{f&3CD0yOI9>Ybv$b@;$JqX#2ADW zZdJq^HJP9QsShU!y~1QGoI z)3rfkprR%sK=*1RAA)igi~abo*s z*YhCg@R)I#*qQBR_jV7JW&)&(vw&Ghx)T%n_mg;+NM7{l+?$tcs5< z5M4pL*?ACMgR*o?^_r4`Lk>@6FQzuOb8B8IR6X)Rj>+S(FUG72Q-GNhe zm2aEW|C;?fJ0H?sM;nOCAFj(ZR}T|AW*hp@DfuE-0*^kK=Q;eVniL}9;k&HJq9CKq zaw1LjiSW3^rS>-kd~NQSpoCr91>fJCpV7Z4Gz7XjTI`2P!LboKIa~-Nx{%O<=D!1- zjH;A^RKEOg%t4vl6eH`Me$It6GOoLlfmb(hDZi#E-o<|<8K zaUr0U9hc41?(aato&ERl-_)O6_Sr=idJ`n;`P?j7bE;be!PwBfHtrOi1!`LOrXQ9w z(#H%`0ef!?r+#986W}fIub+Hfd5rKMG{ME;i7|hVETI(0e-bIZDx-CiLFL{pr(V>X z8^7hVE3TpfBmUaEy$eo;usr`1WE3;|-Td$PGBAHf)%AUYB<|jEpTc9ng~as!35!My zZ#B{tINu7+?Kp~MOW>qCcQ_=t4nyg{%yMt3R>eKp_|W8(3bkRzQ!>ru0`rnhu|QMU zntp()>K_&3{DF2ME&?cClKeFBoAfHER5tL86l`zR7wx(4`J#&0$9k7W>Xa!#u}R#$ zD)RYmjFKE1%M(VDb*Q-$d999qs|e|0sWkTaOrEwrfR|G5nR+9TmvKpALG(3dNx4eR zlWDo(Gj+q)x4kin<<%`y2xvc*!2Er-)c&rFS=n|519;ZzlQgbU; z0&Yg9tB)?i75y%G!Rv;E>(KyP^5fBBxE7eE$=vc>48zk;liE`kpf`m73b8<*`j zGtwmIld`;B?Zz(fLj$!;HGx$mx9a{Q|n668uB=M^`(EftlBg`4D_5^v9*DoDM}}YU%i8J z3l|G_N5$bi*_;zNxTO>~sUTl5F5$aq(ioTCmRq-B>KXK<7lT6e=EOr3LcS)e*e*SD z85QSZ&8%{eMp@~pBxWKGW)xpmY2qshGYNq6CHQJx3|b!8Tnn}1l;u-*6{dEP7(!m< zSqC#i)qxe+dd)#knt5O;s%1Z&<$`J6!PP46q+iT#M4F8Uc%&6q#|Ys!6RbI&w{nVK z6-|Ly09K>U5cxtl%U{f6$`qX#tyh_jx&=FVVuYH)_nhfkZhAaFviT@m=I;P3Nj7sY zjCqnn#J$^}PLw7$p^J9$cJ7VB>YOnEA(2>E+7Y=oyMX25R?aW4eOda`=4dkEg*)Z7 z0WD;3Y`zlu9^Br6xnjp;eG1Ckyc=!MtTfx}EC8B-!RJGM<_|**1A3`jc2={_soxrH z$tqR+?Vy9rZ7mlVI$m-i3|O2D1@P}TA%6Z$w<2SluHGcrAePNT9m@~r*5i0zKO3kC zjDSXe${s{rl3g+EPg;j6H3VX5$_~r*L=xxN1sxx{3WYCzgWTrmseaVm z^s&~@-4{=+$mmn#BEQFMG?pfXInft^z1Qn-SRySXZ+##T)(J6fQ#eG}dsZCJeK_=q z(^S~lYv73T+yP5v^C_Tz3gwvOxa7QYK#oC_(E@rK%$mE8HWf?~XLA8t z%0~0;d4}8i`cW~$42eF=FMo9qKBUw~@4*~(Z-k4OQheLK^4rmT+e0U5-0oKR3+3jk z<~scaz0o~x1bM#kw|)HG0AMi~E9e-HXsr!jm7d)s8v+4$EnUhMnh8zuNHC zTJ8w4zuw;9K&({mlaS9@;&lJ()GhnX%&59fRC5!dX;0h4IfH8~t4mrl$bE_zCu#qvW>bS9Vr$=sX`j4w}=<3s_=JnLg$m)?lpD z>^7Ivpf7n5k3`g@2)2-dO%VSD+)TKQ3yWHv94mRcv}JHCe{nMcH&it>hrvH#{pL`; z3*Ks#EN+ggH!|pcMk~evE0}T?d-diIb%4(}beN4U7F2DagWW_vf)v83|Co6R)HsmX z&J%9d5J0(dnj%Ark%m+T#;~B%$CM#+2CoLOxd3kF5c4D2EHb3yDLtkOZ6i-H z95@?FH7|qRwZfa>L6xbA%td95;#e(|J{+i28W*37?8y5`#{UG;8XQ^Hn7VzjvV72! z;oo|{E>6(BrlNd?uE|acA8-@$&!Z!c?kB9qB2z0%w9S2#Qj&f9vI8RhShz`wO-8pa zs?I=WcsIVBNF{AqYMGw{wF z-ucfdfiHQY*dV+Mr6;5QRRMDg2Ai2hCaY(7Y(?Le#~;LmI>S!o!tWmsPtXEP6!)`@dQ4Hn2_T-u}jny_b;_IoGFF=RkhuB^2-w~uvn!JvK%NX5k z5Z>_4GoIp1Z~r5@1T7}{)x*NXbi!`VcxRRmw$>J(rHMD*XmMj~Bv**4WXb8MKM#LW z;=JN;$w!Al?2FAe8|q4CeHyFN9k4O>19L7FDSt}PZ2Uk*rE^0R9JWcy_7l&5sg!4ox>cw7W@pmm> zsqWotrOl1BLir;Q6l~1>*S+qIPUJdt@B9!bS)5~F;TeC} z6)cK-+b@GyFhBpjU6?1yVLhEk)AoCiSc53S9QAz$MqfiD!L8(Hi?zr~0d-NL!=iV) z>Ywm|SqK}G0vrpUCtE?FAr|vrvVU`WqdG9ncgxE8-Y=jPk%y16{*KY#I|kibA#>us zyLY2Lt_Z^8@T7yOh_s*zY*?pKgW1eXill91YC1vaAudoY21agMIt0qQd#F4%ic8fw z(J@ZNQ}bR{m0mGC&@;RZ&9mIFbz(!;?Y zk;A4CfzfoQG@FA7^Ef6)Q#{ICgF2aMCM*@cSGSIT%I~VkI5x_(S~BIBgWrx+BeY9V zd#;&P1a=CR6ZU&dy(P)92TxN_Ay0?MHch8NhIu~~1ZnCqInR}SVWL1qroE_=c;=5h z#eU{Ws`iS@)!OAeA1yp3_nmABgpE@K^CE4GqCCVu8jw0NaDi_#D2<_e-SeORiF+0g zr#S^x*_+s4Tcu6rsZ=0c4mxTFwF6?hPVD;Ta?6~^o{%01Es&Wch;0>JgLnT zUui7KsP*C+B(eklyXM2J1u=sMAQld1q3O{dS)YM`#zW2Xa(M`Yr4gRf%W?LO*{UAF zl~=Hnr^y*rZGAD{r`WY-J8OY{moWuYNoeeui)If0Wl;NJikS9MU_kF>o-_GYd#AFw z@DRn4TnOSWzZxPVO=It+u=-2fp5)`^cpQ}1%`lwEs~?nQ{&P;iX)&nztTM#;i}S(9 z&qvdX4%8^Sbe_i!7v9hcp+CHXBi`+Ut2{IQu1(b&NV=V~?(OKHkz@atvt#0o#V#EG zea`KT$I6CHzf(=2H$sXbqw2T&Zjb-|+`Y2r4_f!24{vv0zh``eU255XwKk-O(%$%3vnc7+DESkR%$~vOby?I@HUnrtK1R(SO;*`tdjA<2H+}PZE&258tsitRb zw>Wd&%fo+Y_jK$UF$!z;xpK(Z;~3CN9wmP=WJtbNQnd8F&i6?hG6ybv6El`AHE}kF z2{EfLa9`PsI%6uCv}&xTSe9Cr#I4N8#>(xvdVJK(>ZY4?i7LuZD@}kq{(?1E&cMXJ zl>l;AFZ;=s_<_}#dRIL<>y*l--nU2SZT!gS#X{Wc z#;NiHE^T}J%#FVpo}mJ0@E!zGE|@__KP?4#tVtQJ(G;@bXGn2nQ9eGL`@~7R2Ez#u zry&07P5I@NL9Fv`6mF2yDrPI+WO1z|8>hVK`_fQPDpnM2^*xTf1X_EXiHh>tcUG7m_dP6C}9a3ak)>cg&@i zAQ;Kp_K5C!VA_J(UdV54@S-M>-k z+JYtL|5<>=SM)htHNQe_S~R91{d8Iy?pq$^RlRsIrz?Ufwvtp7KTKf!zWqc&5TMC< zxj#)S?hV+oVBNZ%`2@ew$2RYU$6U6s3_xP76~8d54`%K%Y zCaLNfOZN!Mf?x2u8D`zh{LA+1Z8R$ZPoa|7)vn*^a!1s@)i>?LO46udO4nKzVu zSII#J&QZP($~qtPdQZTA zASQK&T+N2GS0Lv-y#qU;+~M3 zpLrOz;~PCj$M00--YbyacN;;5HiA;TDqqrePzl(M0(Z#RUA@rKSl5F*IutfMoQNz1 z!M?L;%lBDzmsGL@lPomfLkcK}oZ*1fi~Noz<~A982e)i8ff;yV1i^&C^>VFa?Lqm- z3V9>NVD(wI!Zfc4k;cmj^|?CG=fqUd8wu6=AamW8*!BtQCPkA$_CzcMwea`1LD@_v zu{p%T(fz%n4a)B7;&^gA>#<|2k+d*@J>Sp!1L?Qerw^a~^8xFHz?m>#EbzRSo#~SJwJVcu{|61;^g`QVTt|hQo<$`g7>a*d?bct_*ac zU(Sr(e4Y6w554`?kmb}y&e5{xydLydz%V{taTJHbV2-^pZ4vjs(}AV9s+AO5Rn!K| z715Oi@%hY=0kAe6i9yvI9i`&nckkKelvv$OC2`^bnG*hIfTV1-9Rx_rV z_;)v1lGZ-D5TldTDXvOIJWIHcV zL`TQr|3hSyac8|`VSbz$cxhbWmh9f1Nb4I&3%m=pm+~ZN`%}_Cocz@c2K;ZnV^ivt zNU>RyqqNoZ%O{UC2XERc(0b)FL(c=<`Gc|YRA520xtg6XTq4oExrl@*)D6r|5RJZpPOGVc!D|kQraqmRo)IkqiIaRhxB6fWaIilR>_w}9IjEc&le<2+N zb>V7m^ru=sSaves%Zs_v;xFr-e)W0-%6^XZ9WSlRuoWUI<^(5EY4x&WKupk^@cmn zog7@D?Z|%0-LL9z!L1vU;{;7aL6`bk{4iVn=u}Odq%H8+-TEWRXG94~Hm8Ft`-CbxC?UZ-L(1 zZdlpJ-->pAqBTW;_o=5k1O`@0N1HXqQzvXtuica!q%!;ATtxkMF&=w+Z31$NBCMEI zeQ=V|BX#F9;Tx>Sh93;q-2~v#jJV#dUx*`Z6CICO>FYqjq{v7I96`HJr!XQR4ehOQ z^$KVQ-`zPx1mXrAS-#U?a=VjWf2KCz-l(i)r~EK_wMz8CuW{%?Bql!2?D5QIoKz$V zIGeU(grltS%!R&xdoO~!Z#1SSG!*=RMijv-dedO>uy7VUh%@8mD932}=ktd1Qw2Pu zpVD=WYW9gfdT(Yf$`r$lnq0H;81L_F3k6=9EAUI!7JS@ALGV%|=ix%~^diT59p9>5 z@83%Cp2(BVoCm-M3}4h#Ei**>60_Svy^&C)grpUt#6vss=681DGL_AWa6& z1X>~|B238|4t-sBNG2cel8C`|VQ5)x`+G*#&;kFqZ@ml4)I%q9zWa?7eOiWDy+B(c z>~l%+8hyOlLN++(0UA!Pp@hw&;DlNd9{j%hsV#*9?4gl zL^X7&OESjBRzQ4hgXB*o?Q*RI?uV_fyV2~d^tbWl3CVOYXmRY}t>a&TTk`?zbFHjZ z7tG71lbp^FE%Jfk%FoyTV|Z=7?X2T?#1Rz9v*1W;=DULOM&Gmg?Nb}B`^;nM2LXd= zvyMeX{_r$IXj8O4$M0`9Mg_X?RLJVe!oGLhbo52t@L#f{$+*MrP+s5yFKd1slv+{5 z<51WToj7~jBLWkSo9#BEgkbboTX?{9&GUmy=!#?t=3n#fo(b)SOm(nXuD{fUy+Leow z75t*Wm)ynj&ufAlp@0b_Rf`RfqF$Mo>V+;bv10i7)YF@@Dx#K0!r&h(q_=PG4VHJB zx#`fDnmUaD=YCLhwwOy_-;;lDGc)|8>OS|}XXX?w8vqdGXH5#Np656n2DQIh#r_pm}EndP57xMp7oMCjh`KM7WVsUS$Q`Jb$GC;qj7t> zqABS07|)>Lj?o@2u|~Wy*^)x5qukmuu}82UM^RR*UvEchTmT#NwIKU~F4Utno^?TA ze#uu+hKd|3TRF7lUf6!;Y&LUMDYI&R0j6xo>!gZ#VQ!Q^I5*Z*vI0>`y|I4OFwj8# zH_A%nriM!WC-;vXEvXV2K|wVKK5H-(*O65JR!LbRThvV|`RdL?N*k!~#oyBuy~TTP zJZLq78%ww)M{eUw<2UEIq7Va_yo`QW>XqawGpp@PF%1WmSD**0KkrG zL88a(HtIRJM%$< z?p?P3(du&tK?2U^Um_eBK*4#vI4m*vIZZwC{(in^vmx}4f4;;i<(a!@xa9|de!Y4a z^T>_uDmS2i0 zCo=TmPWMlX10kKIOwX_xN6eBF1Og|Mk1JY`Ze`EI+0 z-nlRpRmn+N2wVW=33NS*kOIH-tJ%d&ncRT=p4En+!}}DNRyzrmY=254ZD25glmo=h zaCn{{$C{H@j!K4#t7E*Yj%gRvi>ee3TQ5%=@ndPtTaP~NZseMn{hw`#%Ftn@mb{PI z%bMeU^B;`?25bpZKDWtL9c0l%+!IfovQUjJA?%*jXV<8GZA5RJsnm%8S&=*Ala#?I zMnLQ405EfU5jS*Y*N0u8nOXe;?;REvz}&aXr1$E~02BWG{Yi$S}>1esHYfOLROunVq*RyO$#;aX&6*1k=|b5&wgvp=TJ50O)Gw zf#HdXh5hpVL1##fZH57djgolv@&2yJ59sn{YWjF9JG*+f(^3UWZwOrLtJ?7fxNYe8eE8o;0H@G3o#9EqH}TI zsL*5vJA`A}$&8~#F&o#g)CwW$jpVGaI8GOv6>7NCWC3@;i5+@H#&;jh-LiRe^bWA- zQVJEr{^$4J>}2L6YwZhl6s)4LM+g{nq(MTOr20&Rxo)Um^Wv^Ma3^&dCY z{jbLc2n2YSrlAcUlFfXrz;iJFZK64nzrAJL%^!XgA8WifjSZN9jDe&rWYb(VaG=p+ zD{)MG>izLujiZez^*(U)>`o8D$+_(&~ll zZ>^%VhjIK>cqb<$ej!bhqQMO64X61u^68{c#3E+iu6jD1W`Rn&V>}VZlRd=_j(Rx3 zSbI)_NuO9FI~NyiGhL1INI|;{&i*LQS6Z%04Tw%P31ZkZGwcIm;}DjW2n*2x)`83v zsJo}u(2-s>2zFVm@3r-+;Hn77kW5f-HJ_KBqt^ZT7pM|hTz1#O^=gX2t(E`h|W z2o4xG%pA{Pj$s*Ec=Jgc@N_n|4I~JJUTC2JPV2NM0w8X?aHNwbLWA2 zyY9-nSo{uj-(b8?MyZD{FXTzk--_eh-LhQ^=@p7>M1+0Z>U!IhcY9Z{kt2X0Gwfo} zwAtb8U;~SZ$z*rh^1{2}`TRnf1T2OaFt=HQY+~oe3vw{fF@ZWh_|OmNE$sg7SL@hw z-&{*qwAHvtb5lZ7p2m;{<0E5S%&0}#hx2xsT)1;Oe$vZE9x|WYVi1O*B>2mf^XE* zo*J?;i5QHE+!dG0q}2F#vX4PqWL;Rjt0~)+V^A#5f!Jb6>~?4HZW2CgZoLGiUXNVq zdr*%GH-sg!sQmjMW&?!tBm#6$PCCmI)XZ2Y`yPHk*d4D-aXk)V;s=FAsiwA`X%4(eRyt;5BEMSkN_7U) zKkUvc$mjNG?jvjGicBG8al*l*x3YZFov_(-!bT%*9>k>8bP@fwMd-LqVx)ClBLLn0 zMmE$z@k>f31m2A0Z`d&~)}W7Zz}7*u^?o3bwaH$P!n>{Ay_h7a_K6lj8q2EB3SK`Zt+U<*50f_COda+z<0 zK%7M^^#-6T=NpbB3OJP3+cs-k?2)aWpOq`f{9LT7Sc7Z)4XPE}bd2IsC_#p#@tv#^zXd0aL=!uniMy;(XG{^cC>f&-)i zG9hS}Xq*{VR>b*x?EfHBqt}OTTL-?fGt2rzfVuCU0R{Q@IVr=HK6gXOQ_BR zVPeL~ZIA_WzC!K>^bD`E-yr?)Lwx}ZX*(Ak=N*B(tOgQ=oFQt(-yntTOC`-p1j44! z84F5DhN;Keb0Pbf_{rVSUVAqLmO^}?pY14PmS5E|xeimHaI23$k(J5~lSZ3VLcL8II| ziu`Zmka=u^uitw%@T{TUVC@ijlv@XGQU@Sh-p-s>*khkpY}Ip6l>GR6hP}x~Zv<(d zT%osmkzbhlr3+xsxJOi}$)SN}loi9F_XoP2DNClxib%VnEs5!h%@g8JFulr*8;}CK zAKKX^c8re)c!%fI2!Xs0c?#NFpJp?`*{S$?H8Cf!+J={78A(V*R^c^0iIpe;_|Bqg zCJ59F>eY&gLx?F35|gtVFg}&_eB6ZrQrTOkT@7)Rg%>&^jDD<~0wlF-P|mNg>d5M* z!v!|jh`V^}W;Yh-w7MJUEdw)d{DpEcwBhC_P{&o&nn5APP~iW$Pr|x`Ad0n1xLLOzWF8{|8Rc#{+}0$c<)RC=fc*U!G}TZHQOnxuAP5g87qZhQ8b-x$3V} z?KuXZ{$>jHazQPP!v$saJTK#O80KjiHxTtr?VW!%MVQSX^^2{Ivq!n8hBc$3dc9P* zVXOV#GET}5nydWO764@yp54XD4|eo35n+L0FhS-ecj`|0`4q_2@j+H4f$Xb`W_~Z; zqe0=^*!Mtd&ZzLvE2Mmrh438bq2AApJ*&$u>BAq@y*t;7$D(n-tmOD> zdu{5MgM-qFK2W*GE?Fq=Jt;#J4_m&)*CR3TRJM^A(A|x1FFV>X1ae9gs*3Zd_jEqm zJGP?J^-xtbT)LOFx01sXHa$@KmkT5~bo2$*5?Epa5v;_WHN%*ieD@02zkv0HMmmF| zpQyx>9~Dw2Bgm?O)fE_vkfy~2Yuv_d0R|e?oQU5oKt5dc0Wf1OvZUYJ?&?`A*`+KhS{E+!v%!SWYM zJ+$Dtnd!42^`an#>XI6*EN0JOGN^yFmZ-qzCgZJ65SB#Dv%}LXVNZ zgUpO`Q)5h=gD(13J7xIMHX#1Y#>d69?g?wc`1XSNIkt*;L#rL*yt)hPe^7VkItT(4 z^&w@G_Ua5vkKtAl0f2t7Gf>LzJ~VaB!<18cuGvFz&V5QtsFhZ3-7bPi1wZ2u>o9hR|~QcR{fIIkUKax-m0;xIvK} zML&%+#2injpG_$8hm2x2e6QPXYKBgWmmqH1ctI_(J_3+=6D4uuW=pGxDzNKIce+hb zOf#**J~F9TlG~S|O_iGu50Z>V9v8r+dw7kla^Th#Mb&?-60&`y)H~U3jPW{W|>n9~JB?@$h_6D>< z1rU2juhfT%JOz_BAs>YxTmThZz%eV1(98r`;1yHdRDc&66rv zI(ij%6!j9=S&~d@As~D^kLpA9j@8ADJG+4<1;Q-QPwy>~@Fm?Lja++Mo`Hq?A>Zoz z0I(E_7T#)<{Eq;8)yG+JHBQG5t5(-ZTms^EvOD&N1Q)D<4`1&Uw6c|6+H<>`joNR* zl3z|29R~huY}B-N_>s+tjrj*5WWxA3%g4yi;E8`DaFY{4r`YqJ-Y+4;Gy#3BHS%}B z6-3;G{Wvv`N}ZE})Tp&?mp(n{ckA>;+QJG@Qu|>Kt<$n8y0x_*Ig8GLaMz0@R@R}D z?SlW_>~f)WmcR~jJ}{&@>T?591f%y3__E;rns`Imo@aKny$TJgvRtWyTs8*X*{Wo{ zggyLvmf8m$$uL9O&-el=JNxbr_y9@N@3WRny8r8+#g`AcVqK4p!sLllAIdwLVs6W_ zkjF{^a`rzl+V9%>#6u=Tw=ryCiI26Sis;!O$fJ010P^6Ho|7I$kjMa!T~pTXOVPYT{9LI<(dJb;^a!%zrwSMagF_tEMD!6{XaHe7=jV;%mV1t@B$hnCfC2m88q zgYr%n+`gGr;cv`^Kp_+Y$w^=eT{NB(R zCeHs60XSs&?s+bFu112BiY9=c(|3FOZHUK@rRN1Y){3U>EK$MB-jyh*v=~m(z-#Ze z%z$~m9uUt&eL|F!Vt`shw$UCrQbbSZ1jt5!a8mtAl{vB9+Mw`c=tD_<35YQOzDi)k*3OQAK0 z4^mkXG#;m2Kxx|6B21F|MiW^<{^g?Sm+V_3N5+OlIs~Kp{D?8vMD~Z$>HL4>C+>Tg z+CPtXvrySFp@h7?QRGXq*{MIQzKHFH`gSQ*RbAKK5K@?tR@oxoJS-*B*r0din8YUU zo{k1i)DSe#N_qcg>2L%iQ9M zSb$9~QoR|8L7FF}J87x8QQ}d}N-@pUU*F#c#HcjT%JGvb(ie0ql>DS!4pkdQt?gOO z;E{Ud;-Mm9$ewqWHlKSX!Xyf4)^zj=x?4N+0&b@L<-3LIwB~ZNNCs)CeM~fy6Xo4? z7kk;j5Y7!^vl$+9vF$Aq1n_rzxuV1lD=f2H-LLR`KQ>jauhx+1N+`$P5gPh&s2}U? zWHWumi`ri!@aBV=Z-bUq-;EefjJiZHj46Q4cs^lW@|N%Xx=+6uruRV}q?=3Gj&Nc=2595Y`A>(~D`=RSD%=yisS#)R*0l5izu1H{sLISN!b&)_77+0Ig& zzuj+sH3}B006o&Ye|^dI5Q70ITDn)UqX85P+1>$C2-khR{wYl8)QGG#OgCV$iFgIW z4}w4@UT)I=GXB8p7Ek47+z~n=7^Ozk06rl4+!rv)qw7j`{257N>2Iad{ZqF#8m^IZ zxQ>U3NlWCLZ5bgR06hK8zaS-;1zT|gG!rit3Kk-nw}!M|{j5K&%*J@IzJI73_lkRT zTz^vT!N$!VJ=ptFNDX8O{+qrWC~bTb6C#;7a*3)X+(IUJ@`_uveNra=(A%+nYc3lC z7&!oU668poENS3FV@&CF@Q0+&L%;4%ME&qyIwd=fkngk>R4FEmc{!E=`uV?rrTTCySZaVJC-hNPpszZg z=m$tNVMF%orl=8l53Xn9LGv}4%$Q(}FF-<)6AALu!R{+Rr6-{?l#5=V<~#bKt{LY} zZhvP&-i^EG5D(r3t6UU)L9D!ep2Dl4`GSHcdVZz>;G^~)6e!avz>(^ zGYKZ1PtIl_PjP2kxEGSL5gtwURUqX~NVVa?POyVn!vB`fYF!EEVUr+N8)qMiw)jic z6kMwUbB*Htv*c4NkHCl^6yjZtgF49K%7y@tKa7+m36zUR%OTRJQ)&#_3|M^U&PB{^ z^!K8FX``FE)B@mwfks)6sdA$09S03p82bb#0db<%HXOaQxi{o{H?9NFeJg{@rX`6%% zGCvVViaN$Z>-iKD9E`W_;&jQpIfU=0 z6jw>G=3_XR>DJt&^~g**GNdUtXK+jqLXwz~%2|?c^flNX*_STmI>hSj^Q*MLa4P=S zoxfpe*&gYT)2*qI!*=S808(U!e>#UWK{&e-3>5M!Wds1baGd36XEEukb)x zLA>%8d(O{1og$A_`S)LRw@yQH-#=J&T~W%EeO`Op^e26i`LLwrPXY5Am~!vVyYr#l zejh*A!U}~A3ZVr^2cd@(GrpU@Zzcj#{gb?bpL%52D>BmN*RX%$KTWbI?Ph|m%wEk& zdZ%tyNLs0C^s8+ntsdvgFqDgW)$?0c6rwxGQTd0O!%ClWq6@>A?|q;!T1fnZ zsT{U@6wAq)9zPm_ZV_GAA)1KK#`UL8PtMjXfpp_~jF7XPjy*Zc(`>?;sS!cUM&aY& z@ux9@cB;sLy5kpYJt^L4GYyGVYW{=se?$D|UYmD5#jxYCe;CLKkk^g;_ishcZ}iWj zeRQBRxE-VRb2o*a6vCvM43}GEu+l0pp^FBUr38jLN%j>QV71K;gQ*88GRZtLh4VKW zYnR)4LGjT`phGOQdJ1cEkvm+<5Z!GyuFQ6OOsh$jhz-rlmja@s&zLcJ%rD(H-TJ6o zoAzHDm6_hD|5cu)WFBNvDWBgWz2FtS58OxIr%%2K6-W?qec;fk-W)izqENe*9{17aS3QRrir`yn{;n=uKMpO;7a0e^YJBJH9WM}QTVW02K*QrU@ zzVZ`Roru)`n#hXSkU+#G%y1j5J(=CezEO$tqNf<3FT$vxvs%8LtRAc=WpmE(ouRXy z@dWW`(6T&Z{O;xP%E{}g6VLr<9io9oA@a=V#(xADw-AxS* z@j+*Mmj62lD`;Oke{BQFHsn#8Z0H<}T;Dvg-MQI@1q0-GBTYCSJ5`?}SMfIe`%X5q z*8AC+f$d5zaBxYyWj3(4u58{>2A|FD+h@T9QHluvgfU#^j*5k8c!h<5gl_|mU<^9e z1J7uc$=d_ee#Y6R>9=xtk8+whIMt+tHv33YfftMnecf-!vH};}#-)fFi3{zeeIICSsKMbW%@eLYwe#WaS0hQ&P;dTHLl}MG!O04I&x*Q$-Qrp=dWG z0+c)cY9c%KLN*UDcwG-;h&it>#fh7jnnp-{RDOR<7tS=Xa(%Y6F8cevnLP*J3?E82 z(5xj)U)D+K;2jtoKq9TkgCXuT*rjSlDd)B@?e$ZZ7F;Rqk+m|U%+#OQiO{EyK@r*1 zAv-kvo3YIm0)%?&ryfi#);d^{!qzeK@Z!>H)O^fspCbLH&A z&k&ah(i{vu?Y{QHyMMOQ7>M|ep^`3Th`m04Y@(X?(uiak^r*X5-Bl0RR!e|=!`R~+ zJi&YBXqB0m8Gh~TpC%p;6XG(Q-3wVbH7>@B4B(^X)Qb4rbqK z8p~;!!)~MJxw8C%Ea3+%s&4#0V-)=gB<~Twyi4b-MC?y&Ir{hok+DE`PG$rL@+tEo zaBZbzx%rycj+^x4-ST}HqIU_V8y4*YdY(qY zJGYP(P@^h$G^9dmevGH^J<<{Z$TcCkf{fc=%WA?QRbY~9f zVb(NyUUk_pLU?rO#TOaNbVY%Eo48X)>34nK-;p#-u6&xz(z{so)sKu0hGjMML3=`e zQVAh3Y^6tNRSdT%|Ja*0$@06t^Fz~Sq>snKsP=kU$mo-I z-bR&TEHWF6s;_!4Vr09&Lke&nN3ZfJTR1O1Km2wy{SIg3bELqKt>(!;9S-U_+13eo zN1|u2_BQP6SMFF5+Kd>9rsOE%NOLc_6r8r+F|FofN@g!+S@^ksk(JS9Z*UiU$ zU={Yuzt8h@0*nMB=tVXU1V60TnNE|ZG`taS)EgO~+}s;LkA+ArMprY#Bh@Usx|J29 zwVC)>;DAv#_z;GLj>hUUjFCOkr^R^qtk=v^H!wku6*M_!TFv-58I;yDEPw!-(!aXlym z;~oQ@DEjf+-dL+Nf9+Scl{|8`eRCK4a!_dTZmVzJExmA8<=_KW%G7m-i0+-hYD&qx zkW$Ahl6kARZ5K-H%nwqFleNFuKG%^mZ5a)-l^?=*kExKidb}xmum3ePGZyys zFCoB$2b&bol1D%DbWEPAnF@uBCZanI-t`w(9}r0|X3*3l5w_qEHaFM$LaA?0H^YNa|H>X0 zMe>6Kp9`sf#kDd7TKw(V>AKkUg1KVYW^0297%b^xY2`np-=Q1)ke*{3DvmzYD4ouZ z5O7<5$}Z0GVd3amA%5ftnU3AXoS<@quBce|!0=$Zv68j(WnF{00~|3?xtPEC`}B+a zOP*&RN8X>WkuQ69v-pSY1Lm={VY$o}n}uD0hJ1^0Fr@d9Dm(QZz=Y`Wy8@E}pVK}{ z4Fx<*vtnaF{Z)IvxyE|xp!qMJ|DHI5R79U?9TQjZd0xV$tPnpi&0cG;{zI#yR@*_n zQ)%oBN=*F1&a&TsX9^Y6fO@0iu8zB0VmSp}l5(cxIM7m%oKb z+bYph6H$C9Hai}P$&AHOf+E|(&Jw${8v$d9n_bjG0&TGSUpmdGpqx|RkCpF<^-OB; z4Buko6Xjr4?^tf-yTpd1UbmH`x)ZQp=FIsYCi$EfX?k_HeOL7HY8w`n$K(L3Xc|*2 zfwZ)}+J8u+OX_erByfN?xr-q#y>0a0V65P?+dUh#@1EN12hf67!yyXLfmgnAW+I;- zq)^m@{;`TZOQRN@UFHdQgp{360o@Yu{z=prF%O2Iewill@A$^Q{yb#c(`&wB!T)ej^~sAq zi3~*Sj;pb(3vE6uc5L6|Uw?*9&5>6Ve8us=G=0adrv85UZ~nkY-Hh=UA~5h;Y!iet zV+`C$ofpd6T9rnf)c0^*_4Krbn&gl<45p)e1<<7_1{+JkJbfbET=&>NZwFB92NHaEKhB*POyDq4i`we>^ZP~W~IWQIMcBMId!Ic{mAw8?3`mN ztc{k+Hq$Ol)c4oe^Bu(B<1B=I@9U2{*n5|wtt!d;+RY2#b!)vFCkgqEZ(4bFeI-f*!ZuYC`pOddwxdZ6S#H z*q<1^WzBsnRln%QHZFKBhD@l5+6(d8JEW29@9U%4(S?M92+G{aXzMJyJ%*xQ@;a}a;Zw3y8knR$Vkr&(()zdE-(v2 zDHaw^WM(ohegW&bx$DJueP+0pUdgr(#4}M-@!CmJhXOB@qUePA1BxZt;~?@wX2%20 z(}`K$2sZa4rP#H?`H!f>zzd!JI*B)rbPF>p=zIHou5r##XEq4rma31nt% z#m;X9!bc({?@FJB=6`?U9qpDoV;%Ev;57_v4P6^i;05-q#CiFESy-C+ca^FegEsO% z3h*_H0c;Q8AzTXL)*SX`hWCE}nG0*j%xrn6?#$qHK=p&5wMlR`w^-^%^(-=W*{+34u_If8w$Cv|Y4YjY@W8;O}G) z-gxv#qq)jXu$oCm1{oU|Q;*VoXS-*mdQ83peMEPEs0ikwDy`;pb56t6-? zhr9R(d-O-klGS8m=%pYZCl2|M|#pq{LZr7uIy;m8}prIm;`@jZ2hNZssB;Pemd!| ztz&b~zC^_QODajPVSF4BBo>t2%7ujQxV;i0p=%8M2Bxq|=@T|^?+YY4Njfs;S8Dkv z2gvM;zFnk~O=)_4)Rb2-wXR)wP!7_1x~7%oH`r4cDPxRE|Cv0_!_q>1ob^led zK|bEMQu7EV%RONah-}d6utXUo+a=uDZ_uzf9ybbzMRz#6Ivf1B0%b9O1I=%I6Yvj? zgayL5;l=omr21`}px_hr#y~_}jnRR#-EUQU;c9t%N(E2mLMNNvML(~U_);{@AFWO` zKLt6Wg?@epjsfd3hiVhfl;S$l{NGBn2DdRh@$SVgfT&59WNtAEzz9r94?!>p2FE z-Jm3cqwj++rGq&}2?|gDAP1xWUYO+T_xUFr*-@S-Krk39nrvBmaIcy1^cdM_;SP`m zM$JysTG~n+WT1LZKDlKZc8zu3!gu77Dz$jyRsbgoAM9fu^L8DUnPTS#;u+M9|8FRZN>pCQXs}~-o&rd?H>L?c0jfRlP~$RdrjhD4_da>z1Nn$W^KzR&IkU0( zlsG)~GQ7MO;AhRJT5sO#jbHpCb3j5}ihCh_%Kq0Ob5OjXBkORe`6 zuAL0~dzII# z^q&X$5l8lk_Jy4SnHTD-nbU)Abyy%Trq-^0onCF^_EV~;4k6*ZU_0wq-oX#3+r1-e zC*DmrXV?a&W@9(D)OA%dwh1ebzGU5c3bsJq>yWx+3|H{g8iv#|z+*{mQe4 zuH^PH@UTqz{QG@wQ-~cNO2Mh)XZHa^39fhZQuY1*FsId9u`w|L6^!h)Oq>?{R8XRK z_hr8DH2%S*%faRcgZ+IQLCO-(B?U_Go3H_=UrKfVbZ;n+eIByM;bYtnumz#RXe-JR z!b*r20e{ELZFo_5xH>A;;~!0rl~2+(f$y~@wKk?CUjgeMYlawnIWNzX5{8NIQ${5L z#A)O0akshvffB%##|q(`u!4-SWd9y%aA6X=TK43#3;cB&uM*N+VIUWc(mxZ*d?4AO z_xi1oHfNeOIldcuAqom+YR!iw;eCnjgy*k~3ec0q{`-RrA>udkr5pHN@4 zKZH)?hOSz-&z{M12o4$Ve)3Fg4T!FII~SmtM4MyMm)z%ky25`?6Zs3o7Y3cs>a~ z`VrlsXPqIMrs1&s`Q*Uq_Lvsq?9~ASPX1D}h{l}gM@xSzk;8WdGhfvdIAyneNstCF ztgzJs{$Yc?oSguX@s$xJ+O4i|$HRq!MmOK@_7{60SALW=5L}yFCJ8^bjsPzEAZ4ev zKvwW+o4~(rnEro_Z;RThOEEmuOv5n`dwBNuAB?HChxZAP7Eo$D22L=JKX3`CRCCfr zgY`(&AL19Yg!!Tgz4PGh39PD^0(lye8so8+%u+7%FsU$}b7S}BBj&RgBnji$?%1|! z0!`v9(Cz!}?Ik5KR&~6#%$wnCuCG=4wJB#GJ}|c?7tjv}Ty9s;j!u6ghhF^x>1P1c z0}kL~whFv}@*0W=GtN;{se%2mW+q4`7ebQ_&yPp%Rod1SL#FRZ2JRpaIkY-vzED%* z)V5X8tb#pL;irKEEB?O$@NkcCY51D``=#*0^%HMif2$Qxg%{svcwO-GX|Y6i2JPba zkRfN@HNpy-@#0(~gh5E&T)8)+i1`~Nsq3(0Q9onKAUChN)V|M-S-J{mOIM}#Xh?{8 z@A!#?lafGz-BbfwaaDTD5d_Ua3-L#C|D*}bi4DaEmD3hiwUd@UN(;VQ=iNY^{{mbf z*J0j1B1E7;yq}1Q>c_sZ6eNpIpMCC&~*hn$je?UHUJaMd#e#OkW7Alr?}rSoSnhN&~FkbM1j7Oi*voL zWofFLw;gR&WG>#N>b|5I&J@fl2Z-r3Za*sxBPT*Evov!n_7fu}Ov~F6*)uLr_3|rF#ZJ|g+N0`M$ zNnPy?4K=JRTHEq#Nb)2N6``W_TGu4x&)KP|xmQT$m%=wDD4xzkE2g+iN{1(ZfyosnP*SWLJOE1@Gc%`}=O(Ika$nUc`Wn}KH-VwW+#MJNXp4^> zqH7}?wET?j@S|1R(5J`LuV33y`}>Bso4d)G_xR`);>@IAN@?J60FOspFzmmLV`cRj zCpA^lU3c; z65x6I@RiWjw>Fm>@QFGpFRm|(sM;gu3IYT+7OK@=Or^-sLv%S;a_qDF<>Lv_bxzFX zE={te!m$0OklzOjyoh-SNyXNgxOWWmew31F!+;7!HTa3SJJSd;gA$Sj zkO;~v9_T(gG`|EoEowuJC0|>`j+U1{9uQwdHSCZgR{bAo3M2)SN%b>armP70fifQ9 zwc*bj#Ux3Y+se&q4+|AivIdwn@REE?RTg9b6H?W4@VXEMw(nb)b<>D;p+D9lt@E((KrW#DzA&YEhS1=%Yi4ii)1_%hSflNBeOr{%D)W>T&vuJ|qp18)apy#yq48F30byu&BBw zQ;%h9Yu5S?Eo``Tqy_XIo|b&i3cLA-o+Z1QV?8PCh&8u6la1QV5Mf;3%mp&4!Lm`S zfb8iWUM9){H?KE~ntO<(%jh3Eh>5UI1Gna-mlZC+5Nhp0o! z)JOgHd_CrQc*gKAdULbG&udsMMw&=7jphRHX}+jcZ=@mFY*jdFCK40+qMD1BqzWqqc9hL{+a9UupXm^eBHU$R1fQBKQOqY9p#pEd zB{wBa@EWC5V|~x?x;nBa>RU#C-&h1zxWEx z=Y<{~YtCY2Vo;(Maxhept;K)D__{Q%qdfFecb%~~4lKWv7gqF2XmTN-559l+knt_L zFezHXLFT~w_gAVLm561Rp!`oEz)AKavqoO)rpQvDtDl(hhr-DR>BC0HcKWYJ(hk*V z^daiC;WC>{weCyf6y{O12n{@mjh{K@g)O`*JkuM74&!E1F&*}Tw@zt8X^gQ*?B%hnjs6rqw~+-{F*Dw zo%<7TFbbvm_DNJyr5{(Ccr33@$I$wuzcee$JMRy*O%P!q7hZ&OgJ)-}SWN$(*@D_% zdI+BDSYf&$pU!e$Ygrt7T1zkmNYVf^mA_6p^JUS_@Aa-rC@Wq-sg-Z;f;u&-1OoBe z>`o~Z^l%h^gP?szlDH^-eu$fV_)*v&v)X@63&0F&yywl04b}?G36?wEw+}eq29ObJ z5B_>woSAk5Ask>67UaDP7p=*)pBR#@qE8}{L+i3i%~*$qHRXZCSE_Y$<&wrZLO;7C zeY@yoY@R=?^A-9T-+gDWk+3tCcdaRCXrud}i6o0g5f+n>t3EE+)>qQUR4?DpoM{pFCv?WGkcO9j%_E)|TwGr* zk<1wf@!pkL{HY|GRSBEP;>gELp%|`EQTgG6l2#ayILP?64Oh}Usx@{`QQiSlR{gj<-iQ13qs=_uYkCO zoOmZbW2R0C*5E$^-*Id?q+Gt!QT?ureWRNF5#K~aSMA-n+O;I~XTXV0?q6+vUzzn3 z?HKy3+dN%`Ga(8kn^>5gWz+V59?TUYF=Za=gM*3YGipWt@982!TKI#VS-4K zsULTWGV!uR`5X{ITKmxSNWQS{b*`<8BtH~51DqQ}(yZ%&hS=a@tQGO|#diysI2hcJzfofk1N{H_CVBKP7`Q&8B&p2yhNt2?_!bjK^}lg5G8 zx`g38`_ya(_j1UlAd(BpKygda@WuUTKC??lcTB{_pJ_oo`5-x%H{Npoe+t(tB3^wayG3{39`d3TlH6 zA~_$tZZl;pIa@MCe$b*T>`G?#j|fNiuWDu2DrE41_EBQ;=0hcSleP8Hsp0z5f78ld zcuK8T3fdoE+CFl<@v*u91gWu?95d{5bf9t6F78ce?>{{Q#@3Y|3zPI}lIJYImkfYf zfd)dNpz}y#gQ{fbW9;^mi*s%P-$r1Te18wDfu1)^IC$klV<@l>W0s77b zb41yHY?1siT!`GvOGENk3_D9?RL;KzXUYi58K)zRtoD72<}QX7aD66M-jSZvJs19_ z|7#%NBfLY~+Fg{3k;y@|-G${s7r*kq75)bef(%z!5xe?p$r29PSCVA#o#PIiygH{& znh-g03KrnqJw*a8Awm+N;g_*-bL|vK%C1Q3fVu8_OHWtm;=nzoz&&Lr2`@Rw_d3Wi zHY_AH8JtXrAMkpv(0K6t@LcI5XeS(ARdLzoR>d(Our;oDmQwc*pGiOW6NUJ_GXR9s| zN}Xa}7!|l_>-g_WiZDUJFzR9Yl!<{OP{;!3c{XqlQ}X%R!0sh=J&aCb_6Y!cNnIPx z_)A4XHBWFLgeLfUP5rGw?3Di4a)&p-A8HREc!06c@89c~>9nJ3GZ=l7wD`xjX8+A-hRq zZ+Em16$ufVF!|gQyK|kvEDVUhX%z#L8V(9LtSe<$jzh1%xIjZwR)nMfFUn5@%C3;d zVjYq+uEG84fphN2*e zuOPRxh2u7bH$J!R4Z{w>Ho=$03#P;5y7ikcLD2lP=-=|TPo&&*ZF9m93k8QEiQJav zZ+DKH<9RlWrxAWO%!M;g7B7DMN~K?fEqT4g)f8B&h-^7GFfkmK6$-{ieaCEE8J){p zF;e%(M6m-hc6Q6ik!MQqQR_uVYVyC+EFAh5F~%=TsH)p>$BievFGgxXV;2**ICmfk zxNbmk(CjUf2ylu6t>n8tJ5c6FgunUU0Kh1cp`W2r|HBrg<9X+F-Udaua{-zT3m}|f z{Um+R*bN>k&c*vUkoU_|0TRVEq;N>)-l)vs*n@j_kV0Tqa+ z_7JMMp0sQR!20kQW2nk3^nyQmE!POe)Mw1}ubH8Rg^C_$zp+L0;+#a1q|b5uXFRSB zy}rM@n2}#U`syY_9hIc}rj;vCNNioB@tp60>Q65Pk$mly zc8{rrP9SC6w7twsgs|rJ0ybElfUV?b;j+n(A~OPYZ#@(a(@G zlX`e8opCd8JT~TfA#UKSwCxV%JdW59-WN?G*Uz)sp26iGrERx7Fzc$y zT|Gj1codYL)MlPL zet69n?|7e~HoO+xcG{j+qXx#cM{9I4B|HoPuVAi2){<9dK#-aG=-^o>d`=|qnIA$B z48&hH^*E0rbSCYa0`p`DBt>nZRqa|1SacVG&eJ7p< zrmk?}W!H}c#1}^5Z%kygLqH QB|JXU0Zd2Z_@Unmyyyp}TKg!pn@yX_NePuZxDw z+)-7Lp;xVMl^!%#2)apA{2pn54<7P8|{5;k*_>b$3=(N!@_ndSH z^!Li&w!st5PuoT8^5hm1weDBzl$`F?TL;u@LdGoT3V>Kv+KUBpWHOVy<&~4m@~Gm?KGly)dCL3#kM+tqZUU1_^c6n`lQPDt z$A+SJOUjv#yXsXlZmt72nu@#8OhW5K@lr zJF@Te`F0BRP1X;y1En^|w#bcYSx!8wPU$rFwpUD6bw2|ou~f0$SWJ;?4y-Z;$4GRe z*|V#O+}HXQNbn2|G+hF_Z44FIyrwCQxHWtUOO6NzY|>ItD4l)jOW+CLK5cZ|cRus< zYWvxu6$>l<5!@7pKHLeuSa1(=eMlk<@`@RiKGSdUHzL~OaY6e6%Ejf6!Q20gBoxd# z{IG_$2%EhFluxFETjNQVJT5`Mq8?UABoo(Y!hgJD6{ZsrawROmWk(tH zec^0n$CvO=Uiu8>@soVNGErr*4wh-BAGiOrw)VFwfea(fy~Y~4huq~&KRq@t)%o^S z7_|N#_QBnrB5^*pvu=FTOXF~>bzu*DE)pK!PAS#THty7G>={AiLfE*pUT6oTI z7rC9k%0^M}RF|9MGHZTc*P%g4E-f9@ohQeb0C#Z~*wsxx*D|={sa3mkDyaY#(YZf9WsB6+J{w*}8=v7(Lc|uHulxQ) z2;M}-)$9AX8(Of}GJNX0)o|=g%e;!V?y5e;SY4I^Wv@Q;$YwoD22<7La&Mn1NqYV= zb3-IQ+i~LUAqVlm=K2x359HM-p0{qB8xkQHNbzO^n(exLo5)duaj!NF44n7(5VJFI z_CPW>KJeb;X!!xW{o}oM$boLtiB|lqO_|aIH)1U!T>GEi8E5s@(wnoHvI+^x*M;+E zB8MGXMSB}%7folTh0qErbf;eeiZ*pn?>E)>Mza zb5_!oB1rnO#&d%^hB|8Y+HbF-I+ojm%IM7;U!A91p*{HX(~8FpT?xd6?Z6)ROXh0c zU@)qO-7MwV59jN8F|@suHUG7|Afv4oAh0s?m;KMO;Q|amga6II>#FPkL}D9}o_pC8 zh0vwkeG*#-1editEo*b@;Uu@)=~2LR3m7x(#z`UpAVwBc<8Wt!-p_Qy?+5BzD{L~R zBuB<{)h)@ma#SHPwqf<-v}gv7nbuX2EOEYF=3VATtALJy(6V#@=7^TK85(x4{Qquo zWTU>j)W@s|=rN8SQo1U4v@Dw`xWt)ip7fSi&!*avC)|R}k@aIITJg2zK3yAo5NA%F zq7jk5@j0|;)>*yh_L6#+G16(Oq#_@;{xRy>UWEy!J9R)C@zk?BgXVzS51^?jzDXwY zP4l0p1zi3F$!V_5xQMvQNsX0@pY8e8()2%74B7%@8JTw~Fe|9&UzI{pCs`GD9fc-C z(k$s`Hme{0Y&9AF5}z0TkbHAVMmNFU9&kVLB!56X<@FScH*A2^X@+K^^Q*gDXDB|j z97nfSw2WB6c~p4r&I>8SW~j#L&GKUJ*ORkm2E@Zw(~ewLF?m!+K6y-^8XWyW4uf#W z)iMWx!?0?Kp2+WQ#g$>){W|;m}TnVxws{xH+!x@p%1?@37Q@IfH^R}&kUo)eHn zs|DQ=Nu1$JgaHq{vNAHah02fAFM|ur4fl9+LiI;Pw#m469RD3K=glIvWWPb52+6>q*f~dKh0vZZO9G>*WP#TI53wKpl@X;3?W#w+f@6{(kSFEHqcif`zkf zlO%Y*RrF)*R`NfbkL8#d?nCG4Uq`s{!$hyMfcR-H)ea804l3%ZbAE%J}FNlXr2DG=IeK{N2&U~pQ5U$rr`Qa5E3nCcs zf7b(5r{g~_eKCyB+x81k=TKqI^!_5QRqNc!7^rop~Ma$-XV~`x9O7iqA!)5gCUE(F#mhT8+=8!obmsxu zqicV0rd_dR7+_5G`uhsx%O?I6DsfVxPlb{m)o`&To`~b{yC7A`$hP-hQ$Z4)RN zKv>bru$RY#)5F;n8jrp^hHvkV=k%bTJM{J`gKBnN~nWKo9mc1-4DfYmY=UyrI5fr zySRY+Kx2vJlk=~jbkO)~OKzWvA0I$61ii^L1!L0+HjiEtE@goo1IR)H3pE=sb6;!n zzNe_^fKUwX<T%`oPi-&1i}2pmERH;!T=}B_ceTZ@4;jzX`rJjXr^FCi#*L{L{k)PH<#^QpOH?O0`itbJ*?;b9 z_>W(1lRjBA-7F;jab8}URB7S`B_(YCpgfJES0e#IUyUB_1l!V%MHDJkP?|}nYo=pz zrrxGab`X`9MzRR4cIlGZB{uG7;d=>j+T?5BW}KF<>@LFgw3P4%H-y{kBovp+?mDp3 zFdaJB`%2)UfxPt-$hh_CbUE zpj1Z+iqs-(CLV&vkD2jYs?erc^vhwi#`z$t;rRA-6PC2wft>l zV;!!1J|FGP0g2jLAa<%~lYSN97pFK)kRD!5jXWBvna!cyKK8O?BEZCBlK9*(Gq&(w znpNc-%CVrce1?k_)|<`l=3UPNJ?MQuT1faWjlUmX1}F6Lb4W`|)hI)1)T;12s;kAV zOOK8943D*(K6cyCy`t=i?qgfYU=(kW?f-b3JSOvn7*JJXVty$fZ0A(X5q2NI0&m)L zHKJ;uj=O+XSJ}rIdPT+GCyuL&M}}dRo$HsSx>uqdf^NlVV>mop%ZDS8_A-SD9*R4@ z|NZ@nR1DzW04>oCrFj0p+Ddl&tq!0F_)k~-56+<^IG79SjeT4_RjAWb&;Ri0e_DVM zx=pQdygxry|& zTh;)nQomgh!R|izGSZyyiNsXYpqhyt-b!ABqVtHA;t~Unv1$&RF%dey#Gd6-?`7PU zZ^z`leXsl;)&Mz*SUjP3L5wHzr@7JG`QKmB3jWmgS=cayXa34_yqlYCp!v0hR(q2W zrV0xl<({oQYl zDoDcko6}~427ei!@3nf$PXlJC<5RnL?``Hgy0oDoz7?xUrt;~# z;EC(nnDNQh>GC@hF-h{T1Wu{!Gk3}%7m2|iH;O$YYi=BON)(=PjL_EiBfhr@2tIh_h-`9u|PKGu)ihuHoLS`GId`E*M|ym?*}W?U%+do1=|HQL_S#d zhElLX-lq+b61kk!toXozu!K)1pP_$0Y)Wk8Ia&lP-AmO~>0PWvWLU9SvdCj8TnWG4 z^b}-hVC_xBYcH#DV`MVEITI@gxM5{ox}E4Z=v}X&1a8Uf5iz>eMQiE^BYvrb=smPmWuTh-JZ1yRfC#x)E2EP z+ObUhM<|i5fFobHl$-2$>h`Hp9Tt_vk=D7Il`!?28gyD>teRN{49h7?qTZu7WM!U(DW$;G->u`^R@R2C|X0Ki)Q*5}qP!W*azbgW_F3r{nb|`l* z;t@`AWa?q4wB>&3Nb6D>Ku+%Xlu3X{dXW7np&Rr7sp8U2temAaCW0S0BWMEFi9utQ zO4~3AuT3+@K}-T^>awug7VPpz>%ku0bsp)_g-MIfrg-DB``2kPC^yq@Zsox4aKZA& zA=0P8DP_YKjDR_g=Qmj1$qH3R#4(eR2VQn@m#g(+*OXP1S7LVce2lqJ(1Z0|(GWXA z@ZSrJ@&9Zkc;{vX*B+lSl%P)jj_Is#fQ(s&&$nY|$l6L@avS-0EkMI7w3ccRNhl@6_qkn@@>e735Fax> zd9%g9ekkgL_L09DCHJfV+pWsRkUC<#=5QaC^eeUEA-!GgIjfBj!n+--5kz`Bac z+z?EgykMC1gOihF{lF~wUHn|R-g}|UG2_X__3}9HV{^Szjms*1=1^GF&yL_Zx&Fm6 zUy=p4V$Z`3wukMe{$!{nnF>`tGcDUoYC$+8iMx7DEDd<%ORMQ&;ues_Gj;_c46r5ix7tCLh*35PN#xx_|7e(44|bWR2O@AM`eS+4hG?Zm~!&{(PLIC|4f{LY9N8_{KN?*9mH=|Cdz{n>~x*<^{>aP zEONfEqqDhDY<6!I6dlppMO~+&_-F0kdNo9~uZ_u?<68!|4`#)Fwef&{Hd_gtO&Z2W z;P0~wZ@Y=fd}Y(U8-nG0l5hOEt*4sy@T0}osH<7Z_>D?F^)B5j_AqojYmbJET`9xXZIRz!tVFz5~Gc zJN&vpmfz9)?M-M}AjA75dxo2-#DQUkf$JDZ{i}_`DG9$DFG-Ep86&UaT;LvinDL++ zf9QSg&BWc%@0zfeiogVv%)=N}6vo?tA%-&Ld zR0sR>NifhSEZLKhlMjuZA}a}ou*=k^gcC+5)_vm8cV@W%Ec(Om`Dp!CZkj$OR2|ypi7Vai&+^M6WTp zld;ArzM>yKh@4SW?^)w%`+Ahx2X)%Stx#G+0q3ygnc;i6-M)TO#BSAQEbI2k&|Sve zHTpe|ww_lxcbALm*t-ATjHHV>m|QyzGG|$zp%g6u6F0hjYG` zu&4qg8Rp`ECvB#vCmV9pFQuE$>Q0o54=lc%w3IouS&zNpENiK)jqj~+;wek1wM`YM zc!NCV?J2siue3+0+22+%c1qx<--dNkjublC*OOfDM3&b3#Z!|WvP^l(?<0DfI5lzm zhZT(a+1L7&B`w)lMbNE2@`6$SaZ6uYAGKapJZzYhtdS&JTr9i^KWQjkY-sOSP}}Ka zrAndjCD#Sg3#_H>4`Pcs_R#4rv?FGg9a}_(o=xCILciKO*DLRmxl8sT8}{_au~peuI3BagdA^+h)~#afpGI2Xq?}<^t-5nGDm)Qu zW*=TG!!Z)L6lc@>Fwc1X>39)mTr|KYKdEobs$DMjloz|Q)QkeO0%z4Wd(4_1yE2*x zu(9A1_wi%p&CaN*e!mQ=#M+fz;9+m_r&zPL-uq?MTt*S9fy}we8_0nQs;G;Te{UFR zf3g5416wi=AE9lBh|6ZbQ6m0MJjb?ppd!7jXvC+P)zyY&#!bEa;RmSnjagd~9nYp2 zmwwul1^g!D3Hvy} zrlQ%dNAPraPYSe@m<|2G_`%~VFruT~%c+i^Drpyez`Ef~{o-Tnx(m)ou5}tlozK3JcNyi>QLXn5bO&i? za<-Lwi$J!~v3sGIvR&ZBYgK{rX&6Vp$U{oAoN2t{>}unnF&H9&qx2cRlFQ=DsPdip z_8zdl!n6}LGTN(wjZn$$xvJKobWjhc5Tq$3yo{ffk$5ShFB;r~P}@(y|7~pQ?|c|9a_@ zLw1jQ^#Or;`C#8sPBl;T5FrCC>dM!KB)2OUAKO%B``2u`KYdCc@@>PNW$ZzlymKUh zm72-~dZ?Plds8gIqOM7wFVAm|2kzOvBu(#(Hu-OBT&~qaf~l1V{j}3RB zaWruJaC?d84RQ6>faB>9x!`5#U+FVD#R|0h{i*f*m1F;%1&c`T71vy){rln(g5F{h zT`Sv3k0yxs)qHMh^p5%Ux(($@!xqyjB0&6lD_=Tz#HIc$=4K=E}>HC1)8 z!x}HTw{LG(B0W1SKTGAr?jRPhqX9SS%wny`H$Sa9H=8=yf2%3;E#&F_`-+s3Qm{QY z#2}+6PMA&MbW#-i{nh@r96{sY05y%N7&$U+>)FCGP7^&WD>cn;PT58l&Us=L%Bxe7 zPA9b^Rx;0VIeblc~n6+ z!~+?1JH1VSYC%=7J@66*cNse_6Vn!L=@abp)6|?fpgmARG7}w$NDRW~uI~-mk8HT7 zcnFpIQ`;8UzukGmxL^6!X;W>>|Mg6+QE1U{TkzRr;r8BK(xhm#z^@*w<#+ikaf}l4aFH?zZsFOShFeZBJdT=G>AFb`Ae(^`B zEW#(&yg#Hi0njUU9{oR)7a(s>mT;#)6UJei1?pJOjSU~hURP2bd2V+#XIo_Xm|~h?G4M$vh50Eh7eBsQCPrC#`{?F2jzg9l`&c%x0No4}_XhdB zsTvK4k8uzEv{r)oT&*ln_9fVlIAr+HZwf9< zZ(sv^$@R4bKde@XjUejp94D}qK;>6j1vbj~>RN@ImvZ|+Zmr$isLeuf>M8UtF>d;X zxYndVVx4YM@axao-!n_vKNs0y+`4Bp8G$dyQZqX zz5b6}1(NqvpG*ud1RF#K976x|qods19u+FU?gMeqH+-OFz|1B$nR8yG&)b}k(30?5 z5TH$1KdBi(s10;CPEOx4aNW4YS4*hhGMExq9I?&W;LYv)`DS==XDasecQ@`y1OTWk zzT0QMJ2*|8E9n2oQiWmo;V;IcwoH1UTir(lm6+1)=l%9`R6XC;8K(5*gT4pg$4(@S z0xR#4=ZBSWuQmX>7vWhP72@tb$_}YXI)CVR4M#;6G$&(G*0JK16DOKk7wfkWPxA>A zwR0|>7R@+aZgDp$i^MoPRfa$6D}OS!pmQoS{V|+Oy%^ z2oVcI@kQvA>xFK<^^QgnX32S#h9Xa9A)-xw$wTj0%lFpQ)i>f>jFJ%ZkM2<(l9V`1 zwRR~q`%?KVR!09>WFc1)tk=sEf-QFP>gffK`nC<49{pH7rV$7YkAraL_h3}eZ}i`y z;Po})UOBo*iTbb(-6GN5EdVXVMi++RnD{bBN`f3c|1$-T@gGFcSp3XJpQ-wiRdz9e zH~D&tvp*+D2<-P!6m$Nz@fwkmO;*lGg-a0CVuc2b$R!JSYYygS zr`qjai@46@!|HcrHH$bfp6>k=wz8%JcJ`6c%n90QiDeBE1y4UdV-9krazf6VM?WL| ztsw@eOZrpNp<=+Hu<7K^%fH&=YtGOQ0@aeZS7ZFF_Q4QMbNta(7dcTw4e0~wQc~E! zRV^$&Q`y>j14qqlc}gJ}MwI`eD*mQsb9U$n9#9#cMrh5tPrQW^a{HqlJsJ0wT@Od2 zHL!lp|647Xwo#<`;bXK5*I{ro{>@YF_Vz0&lli8LOG*gs=u~N0rZdswtIDHcsk`lQ z`Nn~$+HhRk-h9VJCF+^6^Kr3K?%I+*(-6LF98v+> zv}l#=>)wdkj41Pe)%XHllE_WNA03$)5$L>05&=!4QdIZ(J|{vL8lQDhEWYZ$g&zfc ze{mtjP{j%Bz90gb>0QhN0MwQ?zmQ451d98Irzftb825H!6nx8=melAIBwSnj6+2T4 z^38vkod=M3J(MY1zf7|h+7*Pg@NS!YIqY$Q-KR5dV`*qM`sw+R?39Fi((cx|F6R6n zO;;7ruCtSn4tX7&$FNRi>J8ziXz$FIMUk-y34w?G}k-xorKEXZY!C^pS zJ`X2h#R2y&8q_EhwqY1?(uMZynXH`iY*L>E=Qv7&b+uLJ_!2f?@yQdNjZO9Fyui>` z%~D!0DHGoOIOeI?wplSD1i^*59KTz^j>_>(KA)PoUb32LWQ`drU#ofhCdiE#VAPrn zW0+1=yF{$LO4wQUEcnS{wSAS%VLOLWYN?Sy+UHNCNM<+u<`-5`+PCa#M0xzGX;1$e z*IWDV~#A=ct zOC-*|slCiYXj@%QMh1|WE2!I=Zc>&|vesBTY*Hfm#O#+%&(Lcq{NZB<5^zl`Ne{tc z5DOV!<_2y|;e3(leg8!#N&idDBY*%<;o!O?(IzUR-vx_q!cVJzt%1NkUc!%0Y3@_; zvv$oM=JBhQ%S~?Ng6Q-8(HrdEUcdxQKCg7gWL+~}aG$SS$?cPEJ!tW}yiRO)`0g(Y-+CFcB@kAM9q2&< zh<}E}(ASI%{ztD3`e2CzV&xn=nznlF%)>t|fq020_Tt^&caEYU!I=;VC?w>!8OL|I(bG(~E>AN$n2Y?VRpgV0c|=w@#X z=_6=nva$c>8Aqky#D;pKuR+3Ph*jL^?bRztSZSQbhN|Wh`YEykDM;%0nki29;_V?r z`Frl&zN7-Rm)X>)3ae*V+;rQx`^LOG)Mp{Y@Icv!L!ng`^UOq8?L}yzaZKvyl{HL& z3Y_y^P8e>4N{%vt9HhPo2AY@tbvzJA>(4+^h)~?RXDSZgarU6hQJFaWIt(JYk^E^a z;NJ9k`Ses<%`-YU#9S@;5Zl~pj-}g6f-P$22o=-*=d<1d>U&(CfX)QnA1N@JuM3cL1v)ROKP|sRG@-FY@`^qFpxoU1obGce6+IXk zJ?kY%U1h-9u9-!>rkGu$Iu^uXUG@nNUePd>rUDt`CNTgcT`f~t?B+T={==)-Vj9dG zY5d&uWw}p2(QE){umc$HRVJu-#kDBo2u5=|OU!c<5wleC$6ea^iUI%6cbsBAbAFi)dxrEQ<;RRb!h8?;gwj0&4IqW+=|* zxqT^{&^EPa@IFg$kQ0*42F2;e8kz3;{z;3u2aZik5Ig6%Uud8uF+sJmg6Z%5?yPq_jMoiuE-mdz$0-e(|(V5qpRKty^5KGEr6Q zIRU0_f1_Bn07`~D_tg`m!2e<(BaglYIHK0lOz}q+*74Pfz5wz)_WR#hiEX1yoE4q+ z!(d(QUrIyB7lNdKgFh(%obHc{#y{*pI`#R@!zzTspA+WxRtfY%V4w1^8<}3O^IZ&G zJ8ne!N3Cn*J!IG0$H?QNJV^jZHKFJ|-H}*7@!}#6WL-Ps-+#F58lDwI9Q-SEXY&c^ zC2G1Zx!ZMIO3yxE z8Dn?AMU{)LN)PLHwpX;xaBkVk8p3-+iG%;RebKAl)KLCtDe29pcZ>at2{?Qirz?Mu z5!ldVRC;C2utu;j2)Og+Lrf8`@7$@7VWZL&*)F~a(zEA0`{8vHpom{i<|7-Z`Q7JY z_PoJOvaf9gmh0s!UQzI;7mTQ1TRhxs;pgADp>xL^-^^HlWJ@;j?AqHyx5>kUxP5xJ zP>AU)@6{f(#9TM5%Sua|(V3F{NMgL={qo1bWLtH_m@fs&-g}r2MI{M^p^|7Ed<8p4 z_U`rk19=vfC@Y}*N>&2WOWlW_G!uS5x)#OyQSn-4=57UqKQ_;}jV1=4P8!$q=uL{3BLp9K%| zw@P*y9Q<8_H~NwjZs_?%t$d3Zn%(dr0GPNRu52T&cs1F7^(qR{fxu(4AQ1s7thPsu zehclTHWoJKwdU=e_B6O{YpoE1#Bsi!Sc&s~mmzckBWPfwH)t?cqLUl{PrRFx<8CxA zx2p5dM;-4VB3jHntAnNZ7hWR|*MeNF=y!VbqU1pfza$Nw{`;1?=Cv=%^+LQyO4c@xH&6C#>m{vKmp*YI%}h z9m=XjgJ#k3I!GjLlW)W|9!hVn#m=hTE=S9EMvSlqqlQ6*mLN;yb#fRvnP=Lx`uh zeA*?iGcX=ucMxV!)8!JLnQZ0rFlfT`LHR(c5?viu zqY>|ol>&SY2wz*VH+CEXeO85Im!9#;1?~*psB*0f{N^5Yu1pZuJEu$0JQT_U$M5^h zJ*R)6DcnjhJiVdsLdWH!iIaAdW|uNHLHIB%8u>{2M+y`(zU)vl1)>w4=3Lwk#K+}7 z$D>6BhIJ>sfdEelw1Pomri9o=Ry-an-25Kroa9Bs9%E` zs7AP>92jk{M7L~+k&zW&AH9wn=-zG)cQnlRbK7K9|5#uO9Z?8CIaDcMsL1|SR7ZIy zX$(#_PWagUR_xgi*e5g7`ww(X&^B;YoD=^qAM~e#U2% z*9+Yw+zX7$@Z?ZKT$>9P!vIQZg+8b`AlSDrm4|+X>+tarh|HZju{bR>b}J>-(hBK`P4o zPjRzFuKV8906@J8teI7)TMHGmn_TwuH69;FkO0YOR_QD&Q1YF4fWk)nU)q-tw@;2!4HFeTr>tvtq6OedhFC}oa zjmXphWFiGFU#33f!z0pKEIdHd-P*cRNJQ;777IfKlD)TTCskJ?c6r{}G>a!~QLB{g z_`8I&0Fhkr+5^NZyEqY8`B+fu#R?)fs%C~%1B*@#dX+ZQx5n_^!CyU^(v>4(_fo%$ zK@_SN^q&?6&9kTd!A342Fjd74T;IZMq(NYwH}cBU3J`2TN3^cSx4Bbz!BI5YS za2rMLP;^Z=DX}e>doNJJkAC&}OS2q3SbIAb zd3p$;jHAlv$rW~LE+kfXg z3KfmeCk5L~EnhzW7B;RMGqegZt0fAGiuFdC*Y4+Yt5_lmxgdY6fNGYAU7@AW0SlBW zlNU7sZ~=RcR;BwaKA${k05S8RGvwZ=*poLbZ1}C~a(x!{@6`$~ZtVrq=*2)6scI|M zx5+86GTMYM>DmqNQxX)Xramhf8;MROebQ*=ry)`-5_0XF&>+x3l(kdYI8y2ctJ)Jx(|*5SydBD{@+= zeL6_C2q#c!%2~?bU()B+v>>yHbm4+5mEYucJ7qAV&BT0`WE_EDr`C>7c(*cwkmKJG z@Y-%C2Ms_PkyWx)jnnX=SnIDSR6RjyIlWs%z$f}}84nnybtt;f#Pl}Z>$zqenR6l$ zq_nNA>y(~N{t7IV_n$m&l&GE(3x_lp2M@8OG0zLWj-+7Jww|f3O=F(SEYV>#=JcL6 z-@n9n(1}X}-=E4uuNlwMyJ+5-ADi}mwG1gZ`TKfwRha=Lg?2!BEzmajm;J>oEOC9X zVYAkXp!{rNkX(2j$o^Y4e2f+7%y(i@?21K}Jn}wJ+#{8?I#bp1|&wgTx+$Z=5HdG9PN@?t<(SQ2_qT9EvS_e3mIb5}>j<0z0e zsRMO*?0Gx3K{WZBM%p!-Hq^urjDfa~?bQ@4WdeiXSU|r5WV*X|lTH%WBEHFj; zKwTmY;bA|8cKmS?q3VN=iQm0=2C6b%ACP_M<=+}2l!+nq+hR9OHaTcU$ZslHd#cIpo0VLFva+{jhb<>;UzkWOp%dYYNjCPOu?Ap<-oTZuMRr1@a ziE4)5VBLHHNg!d3{Lj{x(nrmcwqCXf3G11Zfg*&dJ{G;8AKn`N%ZBg#7D+#6VflgY zK(vkxU)-QNXAD@!@)}aZ7A1a1cBLdtWpTRgf5E+YjSafg9xhB*t8zq3FN<@&(7uP# zy2a1l3px9Qr>jLr5&&30mlKi|Y)~QWi|yKS!qe&)dV9&cqxwQBM#O-N;u%vkJ+Us7 zSL}Bn-QB1-P192dIulF6ad?)R;O{L}&7WNs zPNvd^Qu+8WAgfNG!zRXE6vjY$-KrBzg(#Pl0TYlD6$Jy>e=Upd`1EvRcgz5|g}`q< zJ5!~T_OiN$&*J2ShQC_5JUwJ+2M)2ECMwy_1xOovji$99Rnhe2 z-Xxi6lFFN!7)Xkx3K#xG10DuxZVr#=PA|A*`D;JZo@GK}m@hvG$S2!^UJq0qD(Y9qHI2lMq1ry78(C^ELQkZYqKYtC8QKi>PNTi23z7T!l zrgg8ov;ehul~hfo#+{iJrP>-@$&JUnt!cqI8l_C4Mk@1bVpM6iiLr_%xGp@VJl?#$ z8ei*H^4*n8J7{`vyB%Ri99uCw^Z)wJ`uNpfGTKB8kLrqq1(8w;-1k>}XjLIN&7*=6FMZPh~}zB@_WVy@J7xNo9#50Bd4T{7%VNJp;UG(4fFm~=!hRKlPU1AL7OPUtoG zWP$u0KwRWPC0m;1THMt0Zvz=Syv^srX_a;q2;U={TCJCr(ABy0QJtZc(jk`n<;*%) z3eUg3^lYPs33e&@fc^|;CI;#1mW>H@G-*gsI*%*iTa06?C@q#xey0z0vJ0fLABEFm zo%m-hP7|z|qtDcT_t-0e!*#cOiAyv%KbyQ!5l4-Trrv9@e*#6=qhZXdDbr{sGE2T%B^1J0uK^ zMAU^g#SQ`eHv3dBRjXfL<|Ph=Dxa}@d?xmrs!ft~Tox3jgnEGB+urrw83u1^zo&dZ z*{!wHV`JZ2Jm1szff<0+&Qlj^4lGT-ji36^aSGlCtEc^(={30L>2y?z!)2LnM0)Cr zI|VE>ZTMi1*GVwWLdxS=!5C09>+HUxcrOg?=7Pa{nU75as~HZthRU~9doW_8S&eGL z=4CFsiX2)h>ZVuRm+%0c3lp>E?R?ij8!OkUX!jhFIPDi2Br9S;ABrj~cGLUZo0E?X zM12a-3_r;o3jKT86C(B(D}F^n_~duSGOixJ1so+ah`K&yQwa$Pz;g zJocx?A3qMoe24}3FY{LrdhvuXNc`3U)W>!q8Sgv#pf0d1g?&XC(EsJGWwl{T_m>)< zdew#Z2kxzxhz5(@EIMyk0J8eLf{ML2r?iG$N2SXde9ec3#c-PjApGeqX`cf2CIR0d z!qn5=7Fox>6xD)XA}2ObBd`X(Dl=dGn9X0_0l-2CF(i3~>>3~qkuuKWxQix(?hO5RG-?Aa!LUAR0}KD)86=-}P6rJq6bomS-$aGj9d(j>B#^RbUwzmwSIu&Tqi zORPih_*wuR^GH~nX~QfY!?}1|b#4rAD&O8WbtmmN2j!C`LouK)x2h(lZi5(^WwP?3 zn-toZH%@H)gdkGu&sct8(2reqT+Qhs(qz5)>bGAxPCQiP?R_Pz_cSf2$?HF3G zHni2H=rs&n~_Jpz?kL&^r z;W0WtgwX}xt91E2Rmsf7GWBLb(|OD)@6kJ@brTxCIkXAueK5lZCH0V1eU4KUPOHKk zNPZ=)aZ_3{r7A8Vy#6`ihxgmUXlEDdx(^_vxS{bqna4ka6E99B00$r?RLZ}x$en~c*;7~Z{2gv0Smz>vJGHx)Xc?jy;UVJ2-DA81^?rISL z0{VKsCUaI}sVi0D4_cBY2q>gy5vs}~2ozaQ4KIV(l0QsKBC#G4MD8V)t?Rn$Zb&WT zGZyD*)!nbDn(xn4Z7Vf1(l8bLY8SvKLRUHoE?r{eZnIk zAow(_oauEVm5sWi9`h>jUpufnU*5_1--Rpyyw43#OS@&HE=e`bpYD9U)L)7Dxe>}< z>oD}AE^+HBf!x`m^=xF2l{yMq|9lndZe+KY$4kk>VJA)Y5O_=pOD#3@h9Qs2tm{mZ zF`u(ZU58Cx$0l=T8iy}hW(VaW zNJE7|ms@y#yh;407N})^Tj*&mP+b>%T7VCE5@|_xS@?Bf#y4}+xGM!YOi(G=3P*&K zzG8=41Cjl}IPX@Op($vES1BpXFp+Qzh+Qd#?EeZt0fE7 zS+==g^qu_D8J$8_6$66Y`&~{l{lxkZSGq%a9cLkE^ZJUS(29;Ey6t&!Phu~HKRdFK zHv)~A_uJyK0Yg9&yQ*R1_6|Z1JuszA<&X=(*wW)A>}jIe!vTNdJ}XCwcta=$S-(@( z&O2+KV%!|uUblyEX1J@KVm&uRp~|iibbhZZ>5+@U!YTdsye~w}qw(bg*#}{@F8SLTR}A#UGTV2biFaaRT2<3G z1zpp@7?>yT5xk=^0p*j&~8V(&u?Q~}l0|IeSOI9)D3zHD9hDh9oDdWC#cT0}^ zL1YuvoQ0?z)#z5ipb6k}PN*ep)dSV^J)rqV#hG;8hE1S43JA_$)1sTX$JcXmtIdw2 zZEbU_H$Gl{$iqt?L(ff;?8l9n&LND%Ktb~R`-yug-!p)0jIN1SLkETdEtOom|8)oj z-*<4kXYp*^5zVF#q8+Bhd3VQMT_@QPXT}5N^_Na^*sQ^?E<#Utz7wrC#>F&dyxEGH zfTD(-5d1i!&bR8n_2B!=Ud=Z*3ir1ox~Mr(q;w#Upj9Up7BDH5fHyUy;<9MV_eYX>7S2bCEVfymuW zX|~Qui-He?r4(4ZZxwqpPFXM)gPXQy^K0oKzOhg2e%xxxv$A|Lgi)d!xc$#zl&p8W zuV}uLC%ucSJY@dad;*WH-9gm7J-12nzq_TZIy&-}_#>NDjLEx6=f}TkR4s(7k;S<< z@UYm1FtWiUgZe!!^U2(B0jIqwlcT2- z($>W}TMY_cRDCi0zi&JT#YI&`okFkH9;cPZr;>Dc@i#JKyp;}e>ctFW$FWStr_9Hb zr<^s3T#Ms^rP3#7h@S(UUv?Y&Imgl;SkCIPMY{XNGd6tP|2MG0JcR+#;~Ha&(@#Kz zFvh5Ykzo^CQT)E>$c3wzrjNw?KOdaUXe12kO?S-xv4q7xn3PR_qz(NB7?*$BiZ z$|1w~?c7KJ3%%so5}eKD-vU9Xvq|F=B}ll1txbJ+Kc2Nh)EAT+#!TQZKpAU!EKW^V@JX?$ z3IE~?FM-{0|9#mz+OPFH93S+a=9$i(-6f4BPVljt_*6$^)<%>f+RPpHpk3&13I`W_qh(4X_Qe|kF2s;DZKK}~u84OHXO z-t=Bp3|*+|djAPNw0>)$s<>4M^xGx$qoAPolckq#!U;F+@W5YO>SDHNS_W-FBTQIzl^z) zjL9Cn1P=VwW&zKW@3}@=Ozv_37lg3L39kWa?94O>%U_|;hjvdTdx(h|h# z&_oB3F*i0$z3wLlf=b$}pI+9WW$$r7RG``{v8-1A4laInT~`NHF=Tdo*+cvXFqD2h zHQ+Kl-1OX-JPj-mbPW;CUrog|@lRp6)sz>LDs+juX<}TTY;+;5>c~IN#tV!e?YH^4 z)VHbr>U$bbluEIGF@Ig$HqttHGH^9U=fT%N)3{o)o_TQ-?7cyam=7c z!Cof|`HdFq`QK`@>&PvN%|yt5!pt>qBA6E}LftcEN5b;)M|4`+X@sfb{H|>TI{}LN zd0(#Y;7-LSBC@tqsidDRYw=#)kaENb_ya}3hcmDEC#hgm5f66#jvcFpxunzT5TM zcLxi990ML1kv|BYNUe7_pbBH6@?MXc+1D;+B1^U{tT-(2p)phikdlr~jzTk}KBf1n z`rK8e277{B$M0{Q2^rq?wq5DBc5dGdx>tLMA8?4zM_AYWD=Qc?y`sD18Ii@7>`J^N z%l}2YEp6Q^`Axjuz{DVbu;jZQ&*s`lCRVO{(b-YP6dtP^-UY&cGjGw9r$0j+;mTC! z)>~|P_rp8Zyl{lMk?=t^;e@VB^3M4zlrM-+Ib74k1%;3<(<>)H>5t^E%H5=K=<_d4 z`1m|Q=4L;pahqe{yW!ky*UNFP0O_q*F-i=&?spC7sMy;8TGB|u*K#9oW1(YOk)A*192g^sb1INlQV2!c5Q zj^|D>skh)k{Jq!G2TU7~)Pllp>rWgcC`CcUw{RZ#x;Jfn{T6i7po+rZhXY4YO z?R8#a>oSdws79U-?9I9{=CPLXHKCwIERztlVJkZl7my9g!l#Z3ZN}x zo>YJBS=Z!=yfH$jow?LhZ)*<2iY!)=@jy$^#0p9Ru3i8LrswY9?wvM=e zj#o!%IlkBvsKo(qqBagukHo}}yvhiCc+`>@sLiG(DS1<-`{ZLq7q|r!WoO}Nh6CGd zmi>u2o6m+-hah=ZWV3xySXc^&VX_3q;D`}^lUR-j{^VE@@LL5sLxSgQIX(l=0m(^~ zw?sasCOnFMWIoZ)NQN;WQj+ZGh%=ole%HpO9KOWpdan!~q`dkfyMQJY;6ac|eD__x zF=%UpreyF6h3|S$N?Wd<#J*u&8Qvd`IYBg%Z8!ZvR`5#XgX`|2S(-g&W&oV4j&+&qZAp~+hMzDNWrua#3x9GM{A*zAMK z7IeN4i+h~7WjHtHSBX9==-D{QOwW0!A zY{ENqJek^fwcq*To;u~48x3#Aww0|o_k#c{as-9jSwC?IFU=hx7Xc>quDy`0^yr%w zcbotI?W__o7xm;Hq|PqMBG}@s*CSw6i779HjFL&|kW;Koy8Gt@CfW$`?5ud^c?*&s zpkPs*Gq;MNz+R!rz5|(IHyS}V(ZB!K0#wbsu4F|I{@7uWe)x>H8dr_6!?gUsf1GSC zEk=-sIy*r9U&F@h?QfU1gtQw+iiuJrBzyB!>-;4i&h{=i^IuBeQRMKZYK?OCScu|f zzAB6w4uw5)P=ztF@%gCvZkMB3480&%{}Zfole0kcWJ1)J^D)B2CyRr3A1{b33CQ) zOuSy2cq2%RJ$F#Nc21b16+pB6xBj=z1qtP87SD_Kr=hR zZqkQgh&Qr!dO9r@7IjxewRaZ6A>hhbn|_v=!VT#57kquj@q{7n4c3O z*(Z`Ffl$O^l{zn9>5En7O9`v0Dss)?`jdU!p^cnZd>fAcqQG;6NxX>IAx(;(GrdwY zNcP4q+jXhbil(xf*X3HTtQ_b5*5J?}vCza^3pbEX3~peA^l`XB1UWaF%)=56>N1Yc zx$Oe~zCM_c@z?ab>bm0I6AH}u(Y>(l>gS08*q+%~#2s+BR$Kmv9A}w1_QHUuESaiH z$W+yp5by((>HyL*wSW~HDe{j^p&Wak#od6XJ0acEq>~;-?_ycKLwURGK;Mk5!Af@3 znfYPL)wqDdu)V_*8tyBjKpt%I6)p(Wju_Ty)o01Yb4?7XzARq#d<|&@IOhHBa|ZvG z=r({pd&^%Swr9>a;2<4WAVLF|aZ$(aMmDnv*{WALHvOt)1-lz2tPQ2B)rjG$-a4kFkSM#4*gfgx!HTAH6o*63^sQ`|P$L7!(M2XMrZH|MBbTUjaw zUO6Bh3mHqdb(Hjee$=>fQyub{@~jRhUPGw63uk%U=>sh_MPnGhNY5uD{BD=4#?ThD z@9%Rz*ym3`(vvRvvY!Xx{bs{WX_cbrxG*52dtYnLdRwo=i8vWlC(Z!q12OLy+W>`L zvSjS@?%U&U8vUkMbz3&CrlWLnIqY+U_MEDhn++5xK9g19tc{-N$!P7xEMlOFr>#WQ1BJjKDJ`Zie zE=@6Rc@a;zz#lm`aWsqXMHa2-=J0rY>q%TiHi+)9!~bN44x_Rc(gMHl9F?MgZN@IL zlE95$;3FJ=q00+0{QixyD@Yr;>ulz`pD5c4(q!KeXdG9;jNuXEnVU{)3zOWBsa7aI+Ih&V5A~{IDU* z!LWG$6?zA<5_yaZI<^Z1mci74VTw{1W1?q!t9~d>vTZ>cP*`vclv1jOxrOOtKh&~e zSZhvwY$bv?PdrfI-vw+tAAh@gRk^TiSz9k?<>Fy5K9zQZ@lV&&Yl4Qy;gbs=@;uyq z(2D3sYp~DNOY=1qAR&kv{ICrqm;Ck|Q22ueM4qGm2-+`u`1vX6`_riDLAIqh9%Mjx zNnd?;nVxyfQ9aq?1$d-gJArpQ{9DyfDR|$xkv8X92EsU!O)3-_8ooa(;h^4F&pU7N zvvv%~kjqvlsXrjBH^;j5X6gFGHX#i8{*jbPsQ;a6BB;38RqN4K<-~n|f}+ao_mNI^ zbB=mJeT{RQ*w@t=$ubFk%swdD;KnKUFOorgio<5cM^)KI2AIcNV{2I#`DFKhLQdnL z*f*TM?o>SZE(%8f>U4K~ZPPRN%=KAg6xx>FDQT=^=1ocb>nsrg8Pz^8gW6ZuUgKQ^ zzMAQ)<)(zj_`3_xGef47RWfXb^o-gY;~uK!`$8Yg2}yR-v6%e0bh_S>A7}ZH{YQ~< zKi!~qMMEhhLDy9$qF>q73a&g30kR?IR@WF1(8Fq&%nRbKiVV>h|A!+an@*qC_V}5E za65hc+a5HfE=inG6@$qqf;2Jnj*ZC!2p&X6vD+Z7Qp#vM|4{4{xfmgQV#A=5pw} zAxW6!_;?Q2UKm7PSTS_2BSVxNP+TU(F1V@j0oBCs4>0sA$v(chI>0dI)KbFg6kT_f zbzASFxJ`BvIZXA91p%Ye+Ozn!VvI$T8~HS;fNUM#X5&;JO_{8M;{NnUPq4=Dv?Qo< z=JM)jVZ`{HepR7WJt!H-H=s z6hmTG+IlzM%+j97S&Jy((G;(ZV7}XPh54A1r<3~%@MX@^ITIF5qo4aFImq$+vhUnV z1K*{c99tYGpqeepo|W_CPnb%Rf2dYYz2o4yLWcR_@As3>4*U;EJm{Regs;)dj((9$ zb#}gewx_?^LY5c3a(ya01J#{h=+}K|_5)@JDW?LGwOQY!`OIzTq8*!nBf0sJDORq>|6!PrrS@ePF5t!m z<_!XjfQmWap_8GZC|zL?zmyyC(=o#nSE1n2A{rbg#h2mf^yvXC2`Z8Yl0Yy_Hj;P# zRyDqP(!zOo!dMD#^5@@WjDFkQhE$KtL0YZ{*amN|l2uqAG^jE+e_+k(KnLqbxO)oFwN3)UmSUFZcD1HzsS5I9ni{N(xK~NE zfwF<1`y)Ud26Amt#oV+eXb^NDtGkT_WHfIBBqXs*SKa`&S-9?VsOS3XjZ3lz82spt zplPD^!OTUw8NX&Td>rQV-t)rpilf~KKvMkKZgN-wHwO7309bxk1=4N61@@zkcHbvu zHNvdtXWJsG;w#jUg3XG+QDEEpmB3M%jXI%4wCIX^XU6zJxcpPxvC5=#&v-@@{#=m^ zsdJ7n*HP9)VBinrya+_(tn8w<=)u#kqryjMZ3Vg(_q7LgM%&r`5*h#TS!uZ@G2aT8 z!1;p7swpj>Vth&oXhkU7PeVtJqWcI@FQB`vT4rD>`3(bt;KGsJ;SanpNTlCU-3%CvH;O!3E?Dp!LDQorgx2m<|C~5T&8`dNtOqyIOY=aydu)TRC0p25GV=%Q67v~G zv8upYchAaSdLH)vyuVbUr^#Fet?eGw|J1Qpz&6p3QNQ>wN36c6PlIreFL0eM3a8vC zIJnf6vn_MSyz#k5e7gj3YdOQiVOy%da$qYOi zcZGuGqZTFG!r1A-i`$>oUKPCjq|&8Yb>A|hm$^LQeX4ig@8>*4+M%7ZfgD`FeU5iH z$F#pg2U#6r{E3*_DpBnYfQxhM%1sDoImZHh zA+@t(`F;zxH9JT9SoOAVBhzjSF-{Tzyxu>cMEL||6q%@7ZpE9HSwUGNYJGwbfAWkE zlN=gnEfIIIaJSF%O~N2_QVO+dLo9qvA*%!l{HZn|M&^4=;6z1}zU) zplowgh7$>U$0c^)6UBsVY1~Rz9g55L-c-GY4&~fHq%R{+>T-ODCLSR{Xpcb*YH@;R zGkS6N&*8dao9ZcVm7wn<0pfhz5Nlu?PW~!WuVIrE`rjk}Bqj)SHTWk3bS$2xk;1&0 zf=MNQ@28aEC;`U^)#N7cl{K4{~ltV0j^yc9E!B~WGbEZTG;p3LO z+|#MkjO9Wk74gvY)3`8AI$x;GprnTV;&&2|;|eEwHf0N=aYZMG;MWkTJxh?!#5`}p z>Axv~KT+Tr|KlB<(cT5v|&7pLywN%)znUCZilHP@7rk6YF zc!B`#Z54zDE;K*=$u#(+>b5pwS_kECR|mo%cI=^D30TghJYpoU7TOhunWP5<+~D>ZUF|D`~+hw0NI z-#r~I%NR;uF4Z^%yYMq15EfZ#-m#MM88rly(~}ZQVXdacVh|B*Ic4C;#Nx&?v!-7h zgU@c49d03!(&XJ@U4A4FyrwNkQ<)$Xu*3OWN-iV-YKY_WKWbJo*}C!6O6L_|m$t}&7Z8%tINf~ELvQ?-;wzF!)90oXa^B~eytiR2CDWIbT6v9X_ftB&@*%r} zO_xz+1IN57k=wl#Zg~4YbL^a4Oy}Puh70OZLdF_nT9>~EH=$l5Gh)yLm}Kd#;3;i1 zJe48>-WEBFlOcdsJeYNrPi3sU$x#J1&C3bo17>KYwHIV@&BR+L0V=6VTJct1IyQn1 zWVFx|zWn|ucYPFMaur)TG`U~_;?wVa#A#wXr#f#;%ooB^mkIeHN?kKR<3Fc-RbTLk zy~*UG{wl@tTk+6a-@*)ud}E%XCLeVX!38W*h}(*h9t>%1Q1cWRv9n#Y&9|&=mA z{k|$)l=>~P1@#>fB#=aw+RkY4))ME)X-nofIS?a7%EtRwPi%-lri?7Z)aBKOFT`G; zCcaM+1<$r5{qv3{V_Fy!|bD ze^q|N*D^w-XuWi+6ei8V+IZQw2XSZ$vdUyYx%$vamC%WD(@!XdO zxS4350%6AVZ*Q@~<3-fwp)Q3PaDRz6d7Ol5<$G3HHstA!4{pEFCdpon9213idJ9Pp z&JREz7TE6ZP@wwWQV*{Pk(?HRYu1mlxQtAS(DwYIP@3TNJ z7KF3@(%?g;<6e})cXj6H?PnnhqjNb&$>w4MzOpbGtxsQ_Mbek?l%-(`mKXQlOg&Bs z#}k+j0-77~H{IG-bOOdPyKw_k+v3KWoUi=yDaWs}+BMvB-+LlYoi4V0#gz>8->vgI zy%l|}3LJP8SIQv_a4&2hSox6)JP+ahA?8<){*$NR2i{)(i@TcyZ&RC%D?O>$qDMy_ zTmeSUar&T@*~JVfhuho!f7O~vo?-Oek5@|Y}N6Ku&=*}g95hV6X>xVT+w#P zwDylURTTMgv5TqmT0f);ehy({`3IP*m~}q9D8b$3C*qVgUvrMVJxU0$AyJHTS9jRm(!B`Sb&b%mk zK_h|Bi&MTlX`%w)DjA5X{0_yHpmN`WOr+69Vm-tFi|TYrs#th#(VY!hTaEvjWZx)S zd~x%3K}lOtY9CEZqI+GxYX&pYl8nYzVvJ{DPAEl`q-0S4X2>SSA~eQ;yP@^+t$%t42!r9pDPf>MCE0V=S6 zTG2FH(r~aDr3;hjx9LHCOV7NAfk|2NpI5E6?}Y;pro63Yu}d4@?nW|Z-gFxrgXK+B zq73;qjY7doISbG~`h6~8h-}^x%G+{ks_gl4#9wc6zK$#&|3&qn!bGZ-FtuBao_Kk zKWB_{&Nw4`XJ?tL#gy@f9wcR-bAUvLDaYwcMj+ zzZ-!|JA2o=HkCszyZC)Bwl15vrF6<&pOeOVUp?W+CjPs1I4B16A-IWg1T^La04O3+ zLv5>0%y7&#^~$Ip{+>)T1nz?&PO*+Jd{(h@>00i#rYR{0F=)P;zwZULC#@NiWZH!# z^0w{1z@hCp+K*(lVd)i|J-UEAW!lGJZXB%bE@{kulzynNEgWdbT6qGt$=nTCmR_{H z$}!!kZvz}Fh`2`tLeA%^M1HHi|JLTuQ4{*&@H#iKyY8EO^kjU$s_Eo2;ue$R--|_$ zPF$x{%iNv5kA~Dznmb?K``U+DNg~Jsth;^)X^>iK729L0h;CzwsPmqidZAU1yv}wk zOCfSmrT_-HaVW5eq1y3&IHXCu@5!@gORrqaZP^ER4ZA>F9IV%d=+R1FLt?O^{Y}oV z$}UbiY6Kmj()`TrZUfBE`@8UHw_>XAMQs0Fx580x$Z#0;%lYM-DSjM?#|=hli~UdF zdTaq#I4ZqbX;I6;DhC3%&i-8FfG0n)i$=;(Pa!0}orAUE-~0fzi%E+;zF*yl+5EES zD+eqhD~eK0o=+SC)&$40_S22yaSogrHU|2BO4$x;Sw)t8+I`lcgf~H&UPW9ug$orU zQi-&6A3SoeY|4r7ilwMZ91*b;VkqH;DSAj6!8-Kvr40@4J#3qq|6}=w+i6p+1~eDV zILyE4zivh+>;FsxoC=boAP?cX+0Ur)S78s`q(LaMIW{UPdB25$#2x+xU!wn71J{)x zUZ3tSKcZDK{EwA}+lRAqKj?*rEWyIh(i!R3f(h}wu_K7SD_@8=wtI~J61SpX|NG90 z3rTcry4$S95Zwojqt9L&DKERT2pWF%rN{3HL_O;12qxtRxj?s9TSf%Wa4Y1K;QiMW zd!8}O+|Q!$5!k1XfQ&zp)Bx>Hwy}d;ZRdz_ae=nIn40elNBr_G`z?ccxtiTce;qcg z05^Q4JLnC22{IIa@U_SdH}+YZfrsUfR~tHh%!s|UJoTP$W#}6LcQW`k!$aTlx=BZ< zHoZi0$zvRv#emUw>|1_Q9Gw4oWgsl+gR(NUmhV!}0I%Lo#g(E}pS5*nx_@S`Tm$@d zkHDYJjeU%zflQw@_t*6_>3lp>T~w}ih`XC)P6FyKpa!m(k#yLDvM29NHza~q{&pg~ zVM8V3*rX7M5~~93cQ?rX^3haq=H(9yPUsk)4gu}`lzcz$r>-fl1ysQ{rR?Q?zkb7i zpPJsQJe))sCKTW2f9&y8Lhej=xcfbaH)DHE-nQtK7tR`3WO-`{ ziW;G;avwoM=#*7B+2AXNX5Dsi`EjOwub2%Z9Z;bsIZKPubI ziWAf|lvh)mHP0&(|E|&jDeW9+*%~#tV$TBCS?(WA&-Lv#ohsu{fs*Yoz1dFyb^6+; zFml4Ke`7AZKXV1oJLZmY&VqCE1#p$|S|zmnw2w0T7K?!I#dJ}f>wD{8H@KcdiR!8u zp+NQoSy#o(UJj+ujhJZrgLLtLixv03in`UTdR!gtCV{9K=cmIsEW%ZywvXDc;m9)Kn-D!FHc??qDbrAU(RoQ zrQ{nr*K%o(fAH%*H0W#@z>hsQyF~Wfye;a+;B^6#_a9<}*n0RfQz{!%D2^!u@wFkY z?r+NEg%I*C%*bwo30Q%ugquVEZjF^hJ68PqMPe9922F=*;1BcXUfefq zl2b7D$KS4pif!}erSZ*DQUWNmCX;;6EX%%z@YnXT0J{Tc-}}{S3u^;q>Ab|W*^%8@ z9nGFinwRpO6e4w%cQuP>KlhINH;hQzPmL|2n;tkSaGOfPXODUuR(+-hs^jDC6rrQ^ z5br$s;5#3Xu>|UDsauR|fFG%yoPiLJcgOpZggu6l* zuE@zw;ZX+m9aXUXEugE&v zQ(tA~Gotel?05a!Y%h?v>s1cfa1QZr-}ZhGC(jM)D36rtWw#F>*@$xyb#9aRUGs*d zHd9-BI3?S9q1|tV%}PWRLop9T%Xk1_fH-SBtbY+o$!!XWtjsfMXKCeu;{$-uZ?fbV z38O0YkC^pXI_wskL2hlm=(G}lt>q2sq=3F8NcS@tHPA>uuUt6-hd&=}Ni{0FR0*^> z$1#Z*9x|6L++aJ5KZkTtjw^uED60$B_tzber+NI(wwo%mL1f8?BKQl;mq3Z= zr*F1i&Yy`R5@^6R`NkO~o3fI2c*EpC<$OU)LmuL>#=p`x*PWq|CbBv(In;9qP+~Q? z7%Ld$I$t?Y2+eO>_)0~`$<5vI&EBcwg#I6;0A|Ox3>=F_$Ah}{-*%SHksXG%ww)Jp z?}J^ggK&^kGiG6W_u~(7=>p%^i7ZM-o(bxOvSJZRu~f&D@^RBy{+i3;2<4H5umgGi z>GpJO0jwK;K_*?2QcKEPF3f(UI=WKkviaILTbJZSH0bJ>lJAq%?V>W9w=c% zEoL_$?dONA1kJ3~g!5mP`H-D^s490Q;o1iDVNP{#NAarWZq*KJ7Tsln?4-iLGR1N+ z37Y2zjqn+>>aKH{NcD#ovrRY!js6=qWTQSWJPDMJWt)=g2?*U5{9jyvA2UEbsQ+PN zUO6**m0x(mq)fBei4jZGjG;Ly)9#XELLlZ36R>R?Wlr5GU}7pr!ew711%`9^X-|bz ziH89pG|Ir4eWhT(*ccYAM^1Ijvfx^>9_`3E8YX_s>jjx$=Hwd|g-zmx^!w+OOm;G2 zpn+EDcTH2P#}-W~2Zg(K7uyXcIxkjpLQD4FozRc>=qa;l>DoXnYl2Ll8}>x>25~Tm z*%m#>rY0&+ZkXc33)Q_?B!9{xBX%siT_?}$e(RQGtj>%J_`5_v6j zKD6Du>Mg$)*@X2%uaG8W>7|E~qMo}L`LF$rLI4@htz zx8Htj%i>*#3mww_$7<1TfJag>FM^ED0bltX|3Q)3;4 z2UaJ)ABa?vsu0T$%iKfI)m6QAO4kafsij&HOm36n8>~v>O{b`MZHKqfmw!Yc>`)aB z&?QA5RtyuF?=x2`G5w@Lgl16N>cRB*$?gac3M%}ZMI6RALnBOxB@oy4 zWFv1QGMN)GWw0BA2b2A^qN9q+pCafnhzR@0Gf|)7$BK!mZzoqWbjoLA!>EQcBrcKd z(T}amrJfHFi|SZJTt_8ACbgk7+14vhSMS#ct6 zJPLNC#$3!AU>7p56N2WYf5_M0Xh!WKMGRpW}v?fN?YP^EIV8X%mLD`Veu$46=wTl=*1R|pP+ zBwPuZ*MVZ$i~H+A#nIHO$7~;9fti%WSh}HNnhV>w;Eny$Fe##*(Gab(YE3~`h8uV5 zbMWlU@<`W={0GA4K~)O5p~3V<6-%G!C^|K*MFX(U97RS3i{@SJC+4;OPFEh1-()k2$9zYw$chPj;$DNugj#!E~i^A`>df0$mfhAZSYv;om!bEqIGb)p{INE z-*-DcI1fg5$+VvQh!%aSRM01tB+bM7mo^4(eR3XSC_TE|8%bD$p4=V9TM*_HQSt9r zSuS!ucZ0~aRetCfc$Flc=3l1Ze6Kp|;?KtM)TA7-uY&G#QX?1GdN*$?a74FB!+^+9 zTQ`f}=P%t-Q^i^Pml~mtF7NEvRQx{Wg&{3=?POnz<>XniCC5uOmud9wGe?gPAU>|5 z%#Z?BVCeVf@=S2x)0WApqRWRs1A;kLlVQN#a!};bT&uuTiidI?^6*eQ#X6JePN;a9 z;!|o%>W;%vtH`O%x$3}ezgl9~SZ&2f?#Dz!AvmVyUG^_o)HvsR05p`#;O%11le;%T zY&0Te>eH6DK_EjS1#455&X;y8na)?=!i@nZfLH~sX1@Z(<9yiI@^l=vjn{#@Qv_)B zEk|-mg-pCuXy>N3l3Go#46?Yp>zdaVk%!%iX$%b8TC1$SYa3jiqkMI|oltw3Iz`+} zf>Hgn*uD>%)czD5(z(m;nFJZNeV4B*{J^od)iC9{MCNsvvuiYjvw3)*FX?GSnm{2U zYZMjRTc zKz@}~3ve(xv@`7=Z)}W~B=n{65BZ>g5SB)+-4uxGX+6s-S|&vuYcAdws=q0LD&Bp_ zqe%Bg4noyi({0L#O~EdBif-HKpkw~9K9I%L5Qm!Jhk1aWP3e5Ul35amVo6ahz7c6g zkDtQ@!e`xj()k<8Ka=-neGJ-OWbVGst`2VJ%LFT5e~OpIA+Od3u&kw`{)nK$g#f^H z0ay(-?el{GeVf{+xRdEWhqdWpV7SjwTbzh1W%dx*{0D=RZCCK<|K z*Y_KI5;Cy?z2+QPFWE-*-x*M~1m{=Gg6zv6(WFBctWlmz7-~o2gVn(D)&zJ^%yPKN zHoR@bdc@HxsXUwhn$1ssL8voG_64@hCy{7fnB9Q*M)E;zeYAZNsIUu6QlIZYemaWI zaLVYRIt~ciI)+~}oZJjOSbtf({7BB$qT$l`(~eM!!zneL5{swT1V+2MN8M@RGr zZ;+xevi%beWD7$$_YK{npAWMh|J(8HSo^Wdrh*+glV_W%?!Ep_3qE(}k6eb9_>%E&G*?`$Lo?r_gq<=Jk8FneQGQC>O~tJ!AcPyw5KJpP~aSMBzgo0jF55> zO8)nkD1v#^+GZa1JO=l_(5Gj5xhC@}lawn!%`+atE8uALZFGDn-)N6xJCS1UkG z6}WpEg~F>a`s19=$PJAv?fI@6#0B^X5Gt%52ciw*v`s3?p#WRY?%%41arx`MlxGBH zT77~(jCCxf9FXP^Dq`m@r5L&2XISelny=*b5kvyMp^&WA zez4?bM|3eh0HsP?E6D! z5MD3#3ff7kV;O}(al`rNqFXv-t`69pZLQ{?enN=8dzPlvOf@}j0pWeO8Oi&%o)t(B zrl{hm52<8|b3md_mCH6^`V^s#ZyR@0F%2PV)9zbx{3F{Eh;#GhVkuR5La2}Lz`ksN zx1+MdVSqDiHxQP>@)}gqBsKYmIsEQs;omjMZCoP;b^eYRhwtJF82d9`-!^Ia@2U7* z=TzGL1PNcmeiZuY_dh|LG9gf9zjPL>=^4kOwsF1;x0J9g>r_f=0!?iYMMF}(0iCaEdzGR zzt+q@#HOIN>{8t&zrg9j5Qng$p+_v}`bddcun{HkY* zc0}Q`Kilnt-!zn-H24-KqC}@W!_Rbk5uprTLy5M3s3@B_wi#s#l}sBPokpy9+307z zTndhNnPCr6iafu$BqnKpT|WSNm{D z-*>zjrB{od_%m6n_e1+FD;t@RW zw}Mk-BQyQ-r(*_SK>iui^ViJK@s|*GnS!KO7rRyhoi)oeh{(2MUs9;1y@cfnNNt|= zN;&<Ff93jAi)d3J6Oky?0E1u6<8d5 zfG3w2(Ds_RuQo?Z6y`k`yyz~05MtJmlTRc8rXY8@GGN9La}i_#w43#y({eGa0N1z+ zmez7eC@^ct{+Becg#=jWNQmeVTNb*JId5^ta*s`JcE33Om`r9oAp_=3QjiAi$`-N! zjk!ingI8pU&fY=S(fhlC$G-~b=S3F8uShZvVy-MnTuz)B?}qm&PL5uc(4C|WqE=5> zC!WeRcnw(hMs$+^&sp&?7edPcU|9tvlg8?oCp9=A7Kqz^b$y^w{~E$m?J^|{;=aOh z0ZM`XA#^FqI4bxXpLKts^Jzc>T<-bv(meJ+z;&F6pQB4n?+o|n&TSGiB}rM$rO)SS z&x{$5a`_TXjvHH=22(4fu`>h3P&^>!x~Hekbqm3~TDICd9i?je~S-fbF;8Z~(M&B=2&VR-L2w8mW~x!)#_S z$V>*VrfG{-srt@cJdx5w#}QNn6ESrBKpy$FytYD(nVlM_a#WO@84ef&>$TQ4__z%o z=fr|^@&xbW11aL2tD-+cs-Z^{!V=GSxbO7Gotk-;XHKI_IZ#UE1hYMs%Qdvz&?pwN zG^w#?PcM+otoz4aid0z9Ct&Sx`W~AaKT7fKUBTPmYcJYsCvg3n#zJxwWNN|t^IM94 zk_GBjWYEPPl@F80--hsw6k4k9v9wL`a?CvjCI8hx)kH1h-5^_Kjr(?Txokb8xHBIY zXWFL@F(Hbc|B?_!il{@!-!dc2sF~h5(;9KGV(juls7>rCq?U!_8-GO41y*p9ARF5f z(T_&}6ct}eut$lrpCKf^_n^FJrQ__NDh}aVNzzpo&b>dQ338Mqz(b@&2xY4)yB)jB zhyFhL5wPvELD?|*>dUpg$<~o1oYv|mzThAo?DQcpT&SAutyn(RuIi(&%;D;G-k7|# z+CTqkmcgz-LIi=w@wTe{(7P1n>_r&*B7Z{nWip}ekv>{bQ|%^-O;&^fWg9v4zNG2h zG7+XZ7P9H#(_;Yss!_S`^8iXLzbuKk?b>uFGn&ICTG};mU8BL(I(Vnu=$B%nW!pyh z5)_98j22pF;xGSp0>{ldodh((Ev?E_5nl>^hHc@uk=cG-W^Iq>Kco6mTqWn$NsHe% z`mmUU`H}n|Mc!NF*p4_EYc2P#?Q1pco6p^BHJI03(^&sS(zkXI0D6mV#f%SMXs7IW zWiS2SYGtY2F(r?wLkJ{XeoFF;Mm29K2Q|-NG4hLD`o z;~m@FM8U9$V%gI3^jV~ktsx;GS%7<_%MUIDD1yF|sK^qZR?{OD{_MQ1GQ`YgY)O<* zI`eo^qCvszZ$<|CP~p|K0eEITDHRce6nhkCRz1EkFl7oY-sW(G;@^Wh!nZmH=ry-g z1qqCV9diwp6nIyYz+LAIsm1metvzQ>l(0w4?yNYcDzakQW|uVvV7$GJ1Hcbjti1Jc zvo#u|Pbu*EArmLEzM0_rw){NSqTWrJ^<1YR-=oO+DX05315l;pJl#W%*T9PCgMQL6 zAFBu0=mF2dl|OU}Y5OwfXHIcrBZ`xB?(U1jtDqpcMQV$B?{OF>;Y5|oo zH0GG{Vg@*B9S8dO$1o|p{lUZTTJ-Av_Y!tnaVDflP2++;7?QXh=}KG#_$iRws2_(G zi7MC9(GBzqWn&kcUPOB4rG~690Q<0ZV~efCjqqJ%-X%SRer_C2Yv(01k1W2s++qo> zAPbsXeq`+~g07cPMBCPgC2Z|@j5$KP6wATEeZCgKsGx|;_bF@;meSM|+0C8%*671L zS?DH?O5iBX2#<0OgSbgLkHeB^X}_yBz!&(}Xr&n!H*iWnXQ;W?(=EUkJ~RAy$UfTi zBwJ3ypz1K&v^Wm@hOx`-nB;Ux%Pe*8uiK_4^-R?Q)_=o4fSYy2gm!=dA4`0Oo#%!KinIYgI#T=!~k8+GfT_C=hz(AS4?sWicI0p602HnD>0}M zCMa@BnD~iY3g~NSg`;5T3`lYpy2|SEk3VQrKsU^j2pdzZoujdLK(BF!gKoxDNvBlX?8icA2ms^DI8fiG*tmylnPISfU*X2nfg1r_C|Mcgt@p$mVVt|jcu-z#-U%wNcYjkimb8m^rH6Mj#_wTVl?y`Hszc}+%awCre|l1tRAln39`R;EoAZPkZ`=`*V9$S8IahPVqsZC0U5SUGDM3 zP&7ly7Nz}wbn-7YT-gn$LH%ZwD=rzF9)B5~bZMNBBKz8K5$ko9EkIu?VWme(N3Bu~ zqI^1H$c&Y-O2W?d?1Sk64KIXIwsH=e5@kj&7heQ=!h$V->1fQ|X?X1~?ER#5o5<m$77am3DrNWYl?)FVYo;(#%i1F&=uWp@r#POtZ`?ER}mB@uqp z>Eul1qbAV?BrDXg09aIhDbMU3U7#OQqBf`CyRfR9q72CVBVKUyfO)k4Nw0+?zk>KcyCom)2i%!_mvMOIHH8U~qWO@?rP_ zmq)|<#Tt_ai}==jZ1lOp2@zcV61I z{&rGZUd}TWvaL@}W_}j)#KOj<=3L#^@c!XgzSq&;9dNOWkknpo{uUpVw(MH=Xv!i* zK;7HLz9gdHk9asAXPKo94P<-gxFmm8h&tSja2K^^`<5h|F!r7gdd`Cuu|}@;7$;P*4S?x? zdVX+!Wc#pvdf!N+liaw7yXPBB*}ySlo6tXbn6S%|gVX+7nbZ$+|GK@_=qFp#u;j+c zniUFQb}rIZ`z>^lu1H1&5)DckS3;<^#w}1%10Q9XmTnd%PIRy$TDk)^dsI&w_FR-) zX5@-}Wnk9MuS48eK2 z35{G4GR20^JmKW@wMkG|2TMsRA=q%e(fy+z4)XfEtJ;Q&uPPr2Xs;z*;A8r)7ul&&ubV?22)6PN~fE0)1lTAcLx`l`sPf5?l!^qRTcD>z;66b~IIxG+X58 zdv>6g8&s3pZjW<$dN3CJh0lF~kC}aY2VLM%g6qoRLL7K6e)dU=*^z&{CuqZus@r_* z(^_9YdC1{DZ9o8Nm7rLp;&*Mf;cLA`we*g#bAfwNtTmq$SFL!f?pPsDB!BY&_vnpM zt<5;nwPvOjvr852rygXn?mevxn4+LF0d^23!aO^1@{Ij=Da;Y!hZVI8T&o)0tFL&k z`NQkuu38(1TO|_hUWxLKOXY;8UT)a=lVHk1@&zf3S0iKtBCI&fs(ctRqb_03{b><+ zM3Y0hwm+O4iu|GVy=)J_PsUR~9&5nQ@uR7yd`x%l+T-1mE&oJm9h&YV1PFsqjR(Pw zC7hLecz~<+j$54T_moR*SbOG;K!t8%B8UisU_)6V{ePN6_bpRoiT9R=x;Wte_c5`y zt=$M3y^I)r00VF+4&FEN;FkPWJ@vAcBhf%P)EX)k0HOwd#}`M8q7_|B-*$^Yl`P?{ zQhi(2cVIG) z2AsSfYTihLkVNmVxrv>TAPqX9DUgzUy5orxeEpKz0oD;rN!QBO#I}c-E9Ue^T3-_A z=i)uy73VcNU>(|1(YPN6O^H@YYqg7R+&;PZ%;HFbF^x!T!P1F-d~@1{I5HTUqQ@e# z%Bey+k>jUyL*6iDEw>WMZx~7p!D~K7BW|em4URo)pMMxYD)D+5r)r2j_v~IZIX?1d zTZq3bG|sI5&+d!v7^Y;Nx#kN9h zpZ#v^v< zW?C1i$?dtHoQeu@TTX)f%(ly?`fxc5NaUE;*q^S_8UBp5STzmfwj{*Edt9lbq4pw@ zZcB1iANq_5~N=Y&CDt@bC_Pn5Lqml z6DO5^{1gAiTjAxgMHr+bstxx;#=6mBy`Bzik&6E=YaF$I4&zA;ybmmX^15V; zA|yivp9@j`hZZ~r=H~f3=gEzzq*z2f-altT$n=xqv_}arvmf?Sh(nc&;@QTX+a$Dso6a6_916qyyQZ7 zHdwm_mrKxxf#id7VsOjRBS}^Qe)R&zd|Z%(E!mDKs2lHnkttymHyr#TGx^PGY{7vs zc)R}B#Nn*dL*cER&R}A@AdbfW0_a^)Okg5O}A;w ztYO7;O6-zyRj~~eOeL`y_XT`32}*(gDgh)+@dZp7US!S9w=0RZ>HX+n1-9FlT|5PM9k^)+uy>M9|ASBom;&I zg2i2`o}kCk{xMHbfvk=Vejj(Z4-RixoLAAweks=yjaEcTzKD`y)FG5Y`z9lW+{A*XYWLiAh_ zMJ3kIPYcut8aXU|h!~Fx%X%xk5w(C>kiRDOom3^ZfG^;hDaE(+MuqpL6 z&iHd%7CH}OMZ_9?4BM#zpYoBNG_^$;^1L}6{JBPB>n9Pczdhjdi)FPvnZaNn7EA?R zh>#`ierUe*1Q_6>b?zPk$$)<>>boPEF$o#*74*4z0Cu!$C``fP>`Hl{W-FwcN^L{T zBJy_pf)5>gs6u;HWyeEbKurez;RCZ=*Pe$KQUDM#9boxNa* zA9H+B9q9A~3R!9s^mqoC{wyju=S(@;-YCB|2b)c_ix|7`+*?C?QY^J|{enFI*n{Jt zC(dq50B3r%kK_yQO^*3dw}yu4-4v8>_qPa&AXS7~Z?uMp7pHKBh+toRxZ)#pnDDuEZT~)n69$Cq6H}E+nDyg?0xe?O zkqr0UDDYs0`XYWieNTYE&2q3M!?B|JlLFe>!TJ6hGwtlBUrvQdJSzDztxz$F&W_`y z0e?f+&9Zwu(b$22Z7~$SRsq-Wj_Gx&p+(?V>!L5 z#*Bx67l09@Km|xV2=y_XD#Qi)T;tHME*Z?7k{S(Zh>9XOIQSFt6X2?-w}CWrKh{j6 zYz&&n*`ctMKs1LQkArrvw=^!s30 zv!jDT>{c0H>PXLfuF}_vVv8=M4@VoX*Ky|dbujN7Jy@_7lY2B|=u|z|R?&;{iqX&d zZ2Rm+08AJ+w)hB>uA+?}fV2A$Ni$dEr@XMOVcqRY*Nm#=-a9rN$n;9X$_xN5mp3MJ z{fZK|>QoYY;u&_2`IZ@Qw9@i@4(-vYUBAz?9&4uGq9NyA;M}B3nNyKP*=^=!lv1`` z6<+7>Z#lQ1yu>OeXxF_LLCS*i*P6*A*|;3dWjq$g{QWi+%NJ%HMz=J$QU1subzF8}s;vr-!R%`6D4hIvV%v`^Jurrs1{$8s? zu8Jps`3tnbxmEM6cADgH14Uz> zTds!P7=mM;-JxC^T)5Qb-+7T3E;0_V}Iu0KkPY`?-!Ff07&zIlf~j8Koc zRU^~=b zOjz~t+ttkuFl*L2y=$-htKMJh9~8WokRm=SPztPJ`*U0Hg2ROF;>9lIc<}&$Sbj}T zoTtMdjz`nr2^D0Yyv1^gh*KZFrv{>=f5d~S+A?=-`vF%jU8?FnuO9=It;Au>pa;N; zyCg`m(Nh62b*SD@gwCj?-%X_oJ;=2)GS5}yXH%@~jhoUpX@t0qg8yuy6BB?&pD`);;Q~-Xw*=JMB94k_tl3Onf6bUQ?#jL zU~PSF#E`&MO#|3=V7ZfT(f7xLi*$c=tY~`4>qP6V9qoax7N6B{b|y@^J$bbmxdhamFtpnu5Msv7hk0gD6;>0M1w#;F#M#S|FhmG7 z(5}W8xaEor-EXJ6VY7lT5)7{oIX0zz$3TI0^34RF^}4~$=rR%1m*U9Rov=)HSx5Qp zY3^ph!Gq=UKi z>>}b0ieD=G1Zve&%wdgxzA-O6U&^!l;CJzNz4mf5_@5wodf%*7`JRCu9Pr{F_}k%?p^HdKKO7o@K15|Mly1T}U~uAR;9K;?N*P89TcwkE(An(l}r-hB+mG8R33JuAYSJ%seQf2QBQLu=>^Ie(GCG6xs_`r{D+E#=Fi+0r%>j0cx zj95GzG4)h^k$yH&k=ND_dpC6-9A?eCvD31>Q|5aNW<~gTCzEZJF8uYF7z(KRBMzP< z1JCqc-viO$W1M^8Lp4c*c+?oS`DodooiFvE>er@1?cFF!P~)ae|Odf=bWk%$9v3D5VRXO{xX?# z{#_%uC0x|CLunW6IskT2(b~xMJU0AEg{=bj-PQ0peosDWSAurQ5okc+=R*Y^4$c31 zyMyK5uMiR7ky^lsfh?4R{A(;RE#41P2CU@d!gmJaP0JTQ2^{`>6nBnre#!M9!s8*H zL{`>Fj@Rk5;T-lTMWuK|6fvx{7&`A48hg5EyJof=4-$f~1XM}37S4kdfr2=bwa`E; zjS;JnEYbIKO284^&cD`r!O)rl^!uxw(H>15_}8Thvh`@J2|{_|DdYW5eyxnQ=(MTw z@xl?A5U{`7P#h?Wr>QL?chErO8^-OKSU!3FXM6L!h-q!->C0`EfQ=?f`O@WVsqeoB zUX~cGFu6$JKcMIhQ5gyD$m=u--6MvNzJ*o?LxIIw%CcrEF!OC`Sg_YQ4T#j>@~;#& zrST=%c$A`D%B2m4U7^-|LhssSnRz(4*3A9RK9CL8Rbx$$nLG3b;LMR$=gvRLDK?H> zEhb#fE*IV!Fo*J4Q^$ zrgG3Gu1#*W<%@%_Z#4J9Iz8scdd*8SQ#}_;RHh-LvMj;3koZe2zDvoMp+^F)dP>`K z-@Ck)?|KsjVV=6yKujg8W3c`AMpo=Zs23v!a_^Gw#Sp+Se%ewh2~?;4UCF5KgkETS zpfgkc{2c^#P_!nFU=H*fDU$p#?kxBw(C5bTy~%{@1Ra|72EJUjXbr74x6c(`3pdXK zQ8^qiSTn3uS*P1dZ;Se@@@tugBdX3eQJSkSL$9p^^qG3a zZ&$V7RWRwtNXIrlHI@*gFf^P7uEWq^+JWt`YKt>LGbNQ#e+Jl1&>igJd&4^Hym*gk zE3#blyu|%6#-yiye-hZ37~E_gz-bqX2u3N*-=toj^1k~nN==)5M6qRzpqU3j`Ns^t z0w&xOHmN|^i#IHoeU+%-=9_lr61=dz>l9{36|j-Loz-A)^M?kBlneIR!0KET!<$p1 z-lT@Ht~h#=B{9%Xb2{rl3-}k`oQp|xpIh&*^fn<+bMT7Tw%H@)Q85>3Vc^qm9>b2> zTbuHXLwnt*)$VgjY=gp3k>3&{=EbT)|68>?YolQR#U2$}=?hw`z}(!|s+1a&7nb%< zd!(z*`nyDSmuOAR>8GS|FvMcy7J%;7y>Np%YK?_8!T?!jC7B*f?0awjo$Er`&v&U% ztZS*u+jB>~nc{mc+7vY`eK2K3gkWjh-NI`#_jtNZEk*mP~bnzE!{srNh z@cmlwcK=;@BgST{{Pt_oo9+u3pelS85^f9~>ojLJ>)4M>P@ozGyG>YZsMI;?o}#JN zWCE5hPPh=4k4W+QMy@VPdzWQ>rST%n0j9+5ek49Zf@xhG(xM F{~sMzEu8=W literal 0 HcmV?d00001